diff --git a/ip/osd_generator/inc/osd_generator_regs.h b/ip/osd_generator/inc/osd_generator_regs.h index 1701c78..3c1110a 100644 --- a/ip/osd_generator/inc/osd_generator_regs.h +++ b/ip/osd_generator/inc/osd_generator_regs.h @@ -36,8 +36,9 @@ typedef union { uint8_t y_offset:3; uint8_t x_size:2; uint8_t y_size:2; - uint8_t border_color:2; - uint32_t osd_rsv:15; + uint8_t border_color:3; + uint8_t highlight_color:3; + uint32_t osd_rsv:11; } __attribute__((packed, __may_alias__)); uint32_t data; } osd_config_reg; diff --git a/ip/osd_generator/osd_generator_hw.tcl b/ip/osd_generator/osd_generator_hw.tcl index 10d37a8..d46b834 100644 --- a/ip/osd_generator/osd_generator_hw.tcl +++ b/ip/osd_generator/osd_generator_hw.tcl @@ -156,4 +156,4 @@ add_interface_port osd_if vclk vclk Input 1 add_interface_port osd_if xpos xpos Input 11 add_interface_port osd_if ypos ypos Input 11 add_interface_port osd_if osd_enable osd_enable Output 1 -add_interface_port osd_if osd_color osd_color Output 2 +add_interface_port osd_if osd_color osd_color Output 3 diff --git a/ip/osd_generator/osd_generator_top.sv b/ip/osd_generator/osd_generator_top.sv index 9516ad3..22740e0 100644 --- a/ip/osd_generator/osd_generator_top.sv +++ b/ip/osd_generator/osd_generator_top.sv @@ -35,7 +35,7 @@ module osd_generator_top ( input [10:0] xpos, input [10:0] ypos, output reg osd_enable, - output reg [1:0] osd_color + output reg [2:0] osd_color ); localparam CHAR_ROWS = 30; @@ -43,10 +43,10 @@ localparam CHAR_COLS = 16; localparam CHAR_SECTIONS = 2; localparam CHAR_SEC_SEPARATOR = 2; -localparam BG_BLACK = 2'h0; -localparam BG_BLUE = 2'h1; -localparam BG_YELLOW = 2'h2; -localparam BG_WHITE = 2'h3; +localparam BG_BLACK = 3'h0; +localparam BG_BLUE = 3'h1; +localparam BG_YELLOW = 3'h6; +localparam BG_WHITE = 3'h7; localparam OSD_CONFIG_REGNUM = 8'hf0; localparam OSD_ROW_LSEC_ENABLE_REGNUM = 8'hf1; @@ -59,7 +59,9 @@ reg [31:0] config_reg[OSD_ROW_LSEC_ENABLE_REGNUM:OSD_ROW_COLOR_REGNUM] /* synthe reg [10:0] xpos_osd_area_scaled, xpos_text_scaled; reg [10:0] ypos_osd_area_scaled, ypos_text_scaled; reg [7:0] x_ptr[2:5], y_ptr[2:5] /* synthesis ramstyle = "logic" */; -reg osd_text_act_pp[2:6], osd_act_pp[3:6]; +reg osd_text_act_lsec_x_hit, osd_text_act_lsec_en, osd_text_act_rsec_x_hit, osd_text_act_rsec_en, osd_text_act_y_hit; +reg osd_act_lsec_x_hit, osd_act_lsec_en, osd_act_rsec_x_hit, osd_act_rsec_en, osd_act_y_hit; +reg osd_text_act_pp[3:6], osd_act_pp[4:6]; reg [14:0] to_ctr, to_ctr_ms; reg char_px; @@ -71,7 +73,8 @@ wire [2:0] x_offset = osd_config[7:5]; wire [2:0] y_offset = osd_config[10:8]; wire [1:0] x_size = osd_config[12:11]; wire [1:0] y_size = osd_config[14:13]; -wire [1:0] border_color = osd_config[16:15]; +wire [2:0] border_color = osd_config[17:15]; +wire [2:0] highlight_color = osd_config[20:18]; wire [10:0] xpos_scaled_w = (xpos >> x_size)-({3'h0, x_offset} << 3); wire [10:0] ypos_scaled_w = (ypos >> y_size)-({3'h0, y_offset} << 3); @@ -123,21 +126,27 @@ always @(posedge vclk) begin y_ptr[pp_idx] <= y_ptr[pp_idx-1]; end - osd_text_act_pp[2] <= render_enable & + osd_text_act_lsec_x_hit <= (xpos_text_scaled < 8*CHAR_COLS); + osd_text_act_lsec_en <= config_reg[OSD_ROW_LSEC_ENABLE_REGNUM][ypos_text_scaled/8]; + osd_text_act_rsec_x_hit <= (xpos_text_scaled >= 8*(CHAR_COLS+CHAR_SEC_SEPARATOR)) & (xpos_text_scaled < 8*(2*CHAR_COLS+CHAR_SEC_SEPARATOR)); + osd_text_act_rsec_en <= config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][ypos_text_scaled/8]; + osd_text_act_y_hit <= (ypos_text_scaled < 8*CHAR_ROWS); + osd_text_act_pp[3] <= render_enable & (menu_active || (to_ctr_ms > 0)) & - (((xpos_text_scaled < 8*CHAR_COLS) & config_reg[OSD_ROW_LSEC_ENABLE_REGNUM][ypos_text_scaled/8]) | - ((xpos_text_scaled >= 8*(CHAR_COLS+CHAR_SEC_SEPARATOR)) & (xpos_text_scaled < 8*(2*CHAR_COLS+CHAR_SEC_SEPARATOR)) & config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][ypos_text_scaled/8])) & - (ypos_text_scaled < 8*CHAR_ROWS); - for(pp_idx = 3; pp_idx <= 6; pp_idx = pp_idx+1) begin + ((osd_text_act_lsec_x_hit & osd_text_act_lsec_en) | (osd_text_act_rsec_x_hit & osd_text_act_rsec_en)) & osd_text_act_y_hit; + for(pp_idx = 4; pp_idx <= 6; pp_idx = pp_idx+1) begin osd_text_act_pp[pp_idx] <= osd_text_act_pp[pp_idx-1]; end - osd_act_pp[3] <= render_enable & + osd_act_lsec_x_hit <= (xpos_osd_area_scaled/8 < (CHAR_COLS+1)); + osd_act_lsec_en <= config_reg[OSD_ROW_LSEC_ENABLE_REGNUM][(ypos_osd_area_scaled/8) ? ((ypos_osd_area_scaled/8)-1) : 0]; + osd_act_rsec_x_hit <= (xpos_osd_area_scaled/8 >= (CHAR_COLS+1)) & (xpos_osd_area_scaled/8 < (2*CHAR_COLS+CHAR_SEC_SEPARATOR+1)); + osd_act_rsec_en <= (config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][(ypos_osd_area_scaled/8)-1] | config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][ypos_osd_area_scaled/8]); + osd_act_y_hit <= (ypos_osd_area_scaled < 8*(CHAR_ROWS+1)); + osd_act_pp[4] <= render_enable & (menu_active || (to_ctr_ms > 0)) & - (((xpos_osd_area_scaled/8 < (CHAR_COLS+1)) & config_reg[OSD_ROW_LSEC_ENABLE_REGNUM][(ypos_osd_area_scaled/8) ? ((ypos_osd_area_scaled/8)-1) : 0]) | - ((xpos_osd_area_scaled/8 >= (CHAR_COLS+1)) & (xpos_osd_area_scaled/8 < (2*CHAR_COLS+CHAR_SEC_SEPARATOR+1)) & (config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][(ypos_osd_area_scaled/8)-1] | config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][ypos_osd_area_scaled/8]))) & - (ypos_osd_area_scaled < 8*(CHAR_ROWS+1)); - for(pp_idx = 4; pp_idx <= 6; pp_idx = pp_idx+1) begin + ((osd_act_lsec_x_hit & osd_act_lsec_en) | (osd_act_rsec_x_hit & osd_act_rsec_en)) & osd_act_y_hit; + for(pp_idx = 5; pp_idx <= 6; pp_idx = pp_idx+1) begin osd_act_pp[pp_idx] <= osd_act_pp[pp_idx-1]; end @@ -147,7 +156,7 @@ always @(posedge vclk) begin if (osd_text_act_pp[6]) begin if (char_px) begin - osd_color <= config_reg[OSD_ROW_COLOR_REGNUM][char_row] ? BG_YELLOW : BG_WHITE; + osd_color <= config_reg[OSD_ROW_COLOR_REGNUM][char_row] ? highlight_color : BG_WHITE; end else begin osd_color <= BG_BLUE; end diff --git a/ossc.sdc b/ossc.sdc index b5eb6a5..d3903ea 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -2,10 +2,12 @@ create_clock -period 27MHz -name clk27 [get_ports clk27] -set_input_delay -clock clk27 0 [get_ports {sda scl SD_CMD SD_DAT* *ALTERA_DATA0}] +set_input_delay -clock clk27 0 [get_ports {sda scl SD_CMD SD_DAT*}] set_false_path -from [get_ports {btn* cfg* ir_rx HDMI_TX_INT_N LED_R}] set_false_path -to {sys:sys_inst|sys_pio_1:pio_1|readdata*} +create_generated_clock -name flash_clk -divide_by 2 -source clk27 [get_pins sys:sys_inst|sys_intel_generic_serial_flash_interface_top_0:intel_generic_serial_flash_interface_top_0|sys_intel_generic_serial_flash_interface_top_0_qspi_inf_inst:qspi_inf_inst|flash_clk_reg|q] +create_generated_clock -name flash_clk_out -master_clock flash_clk -source [get_pins sys:sys_inst|sys_intel_generic_serial_flash_interface_top_0:intel_generic_serial_flash_interface_top_0|sys_intel_generic_serial_flash_interface_top_0_qspi_inf_inst:qspi_inf_inst|flash_clk_reg|q] -multiply_by 1 [get_ports *ALTERA_DCLK] ### Scanconverter clock constraints ### @@ -55,6 +57,11 @@ set_false_path -to [remove_from_collection [all_outputs] $critoutputs_hdmi] # Lumacode (constrained to max. 60MHz sampling) set_max_delay 16.6 -from [get_registers sys:sys_inst|sc_config_top:sc_config_0|altsyncram:lumacode_pal_ram|*] +# Flash controller (delays from N25Q128A datasheet) +set_input_delay -clock flash_clk_out -clock_fall 5 [get_ports *ALTERA_DATA0] +set_output_delay -clock flash_clk_out 4 [get_ports *ALTERA_SCE] +set_output_delay -clock flash_clk_out 2 [get_ports *ALTERA_SDO] + ### CPU/scanconverter clock relations ### diff --git a/rtl/ossc.v b/rtl/ossc.v index feeb680..19c2c9a 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -137,7 +137,7 @@ reg remote_event_prev; reg [14:0] to_ctr, to_ctr_ms; wire lcd_bl_timeout; -wire [1:0] osd_color; +wire [2:0] osd_color; wire osd_enable_pre; wire osd_enable = osd_enable_pre & ~lt_active; wire [10:0] xpos_sc; @@ -325,15 +325,7 @@ end // Output registers always @(posedge pclk_out) begin if (osd_enable) begin - if (osd_color == 2'h0) begin - {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= 24'h000000; - end else if (osd_color == 2'h1) begin - {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= 24'h0000ff; - end else if (osd_color == 2'h2) begin - {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= 24'hffff00; - end else begin - {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= 24'hffffff; - end + {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= {{8{osd_color[2]}}, {8{osd_color[1]}}, {8{osd_color[0]}}}; end else begin {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= {R_sc, G_sc, B_sc}; end @@ -432,9 +424,9 @@ sys sys_inst( .pll_reconfig_0_pll_reconfig_if_scandone (pll_scandone) ); -// These do not work in current Quartus version (23.1) and a patch file (scripts/qsys.patch) must be used after Qsys generation instead +// These do not work in current Quartus version (24.1) and a patch file (scripts/qsys.patch) must be used after Qsys generation instead defparam - sys_inst.master_0.fifo.USE_MEMORY_BLOCKS = 0; + sys_inst.master_0.fifo.FIFO_DEPTH = 1024; scanconverter #( .EMIF_ENABLE(0), diff --git a/scripts/qsys.patch b/scripts/qsys.patch new file mode 100644 index 0000000..7f98a01 --- /dev/null +++ b/scripts/qsys.patch @@ -0,0 +1,13 @@ +--- sys/synthesis/submodules/sys_master_0.v 2025-07-25 10:15:46.708247405 +0300 ++++ sys/synthesis/submodules/sys_master_0.v 2025-07-25 10:15:54.937804924 +0300 +@@ -156,9 +156,9 @@ + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (8), +- .FIFO_DEPTH (64), ++ .FIFO_DEPTH (1024), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (0), + .USE_FILL_LEVEL (0), diff --git a/scripts/rv-reprogram.tcl b/scripts/rv-reprogram.tcl index 23577bc..5fe2c05 100644 --- a/scripts/rv-reprogram.tcl +++ b/scripts/rv-reprogram.tcl @@ -64,21 +64,24 @@ for {set i 0} {$i<$num_sectors} {incr i} { } puts "Writing flash" -# writes garbage and occasionally hangs (bug in generic serial flash IF?) +# JTAG to Avalon master does not support sink backpressure #master_write_from_file $claim_path mem_init/flash.bin $flash_imem_base -# work around the issue by writing into small chunks so that FIFO does not fill up +# work around lack of backpressure support by writing chunks of master FIFO size set chunks [llength [glob mem_init/chunks/*]] puts "Programming $chunks chunks" set addr $flash_imem_base for {set i 0} {$i<$chunks} {incr i} { set file [format "flash.%04d" $i] master_write_from_file $claim_path mem_init/chunks/$file $addr - set addr [expr $addr + 64] + set addr [expr $addr + 1024] } #master_read_to_file $claim_path mem_init/flash_readback.bin $flash_imem_base $bin_size #master_read_to_file $claim_path mem_init/ram_readback.bin 0x010000 65536 +# flush flashctrl cmd fifo to ensure writes have finished +master_read_32 $claim_path $flash_base 1 + puts "Resetting system" master_write_32 $claim_path 0x40 0x00000003 after 1 @@ -86,4 +89,4 @@ master_write_32 $claim_path 0x40 0x00000001 master_write_32 $claim_path 0x40 0x00000000 close_service master $claim_path -puts "Done" \ No newline at end of file +puts "Done" diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index c9e1ab8..0b4c082 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -208,7 +208,7 @@ APP_CFLAGS_UNDEFINED_SYMBOLS := APP_CFLAGS_OPTIMIZATION := -Os APP_CFLAGS_DEBUG_LEVEL := APP_CFLAGS_WARNINGS := -Wall -Wno-unused-but-set-variable -Wno-unused-variable -Wno-unused-function -Wno-packed-bitfield-compat -APP_CFLAGS_USER_FLAGS := -fdata-sections -ffunction-sections -fshort-enums -fgnu89-inline -flto +APP_CFLAGS_USER_FLAGS := -fdata-sections -ffunction-sections -fshort-enums -fgnu89-inline -flto -msmall-data-limit=0 APP_ASFLAGS_USER := APP_LDFLAGS_USER := -Wl,--gc-sections @@ -1154,7 +1154,7 @@ mem_init/flash.hex: sys_controller.elf $(RV_OBJCOPY) --change-addresses -0x02080000 -O ihex --gap-fill 0 $< mem_init/flash.hex mkdir -p mem_init/chunks rm -f mem_init/chunks/* - split -d -b 64 -a 4 mem_init/flash.bin mem_init/chunks/flash. + split -d -b 1024 -a 4 mem_init/flash.bin mem_init/chunks/flash. .PHONY: mem_init_generate_new mem_init_generate_new: mem_init/flash.hex diff --git a/software/sys_controller/av_controller.c b/software/sys_controller/av_controller.c index c88c924..58e3061 100644 --- a/software/sys_controller/av_controller.c +++ b/software/sys_controller/av_controller.c @@ -75,7 +75,7 @@ alt_u8 target_type; alt_u8 update_cur_vm; alt_u8 profile_sel, profile_sel_menu, input_profiles[AV_LAST], lt_sel, def_input, profile_link, lcd_bl_timeout; -alt_u8 osd_enable=1, osd_status_timeout=1; +alt_u8 osd_enable=1, osd_status_timeout=1, osd_highlight_color=4; alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr = 1, auto_av3_ypbpr; char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -1222,9 +1222,10 @@ int main() printf("Changing AV3 RGB source\n"); cm.cc.av3_alt_rgb = tc.av3_alt_rgb; } - if ((!!osd_enable != osd->osd_config.enable) || (osd_status_timeout != osd->osd_config.status_timeout)) { + if ((!!osd_enable != osd->osd_config.enable) || (osd_status_timeout != osd->osd_config.status_timeout) || (osd_highlight_color != osd->osd_config.highlight_color)) { osd->osd_config.enable = !!osd_enable; osd->osd_config.status_timeout = osd_status_timeout; + osd->osd_config.highlight_color = 2+osd_highlight_color; if (menu_active) { remote_code = 0; render_osd_page(); diff --git a/software/sys_controller/inc/firmware.h b/software/sys_controller/inc/firmware.h index 7eade30..f7b47d9 100644 --- a/software/sys_controller/inc/firmware.h +++ b/software/sys_controller/inc/firmware.h @@ -31,7 +31,7 @@ #define PROFILE_VER_MINOR 12 #define INITCFG_VER_MAJOR 1 -#define INITCFG_VER_MINOR 0 +#define INITCFG_VER_MINOR 20 #ifdef OSDLANG_JP #define FW_SUFFIX "j" diff --git a/software/sys_controller/inc/userdata.h b/software/sys_controller/inc/userdata.h index 90b47f5..07bb1c3 100644 --- a/software/sys_controller/inc/userdata.h +++ b/software/sys_controller/inc/userdata.h @@ -70,6 +70,7 @@ typedef struct { alt_u8 auto_av3_ypbpr; alt_u8 osd_enable; alt_u8 osd_status_timeout; + alt_u8 osd_highlight_color; alt_u8 phase_hotkey_enable; alt_u16 keys[REMOTE_MAX_KEYS]; } __attribute__((packed, __may_alias__)) ude_initcfg; diff --git a/software/sys_controller/src/flash.c b/software/sys_controller/src/flash.c index 92b2abb..32ae7ff 100644 --- a/software/sys_controller/src/flash.c +++ b/software/sys_controller/src/flash.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2025 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -20,7 +20,16 @@ #include #include "flash.h" +#define DEMUX_FINISH_DELAY 10000 + void __attribute__((noinline, flatten, __section__(".text_bram"))) flash_write_protect(flash_ctrl_dev *dev, int enable) { + int i; + + // add short delay to avoid mem/csr demux conflict (freeze) in intel_generic_serial_flash_interface + for(i = 0; i < DEMUX_FINISH_DELAY; i++){ + asm volatile ("nop"); + } + // Write enable dev->regs->flash_cmd_cfg = 0x00000006; dev->regs->flash_cmd_ctrl = 1; @@ -41,9 +50,21 @@ void __attribute__((noinline, flatten, __section__(".text_bram"))) flash_write_p // Write disable dev->regs->flash_cmd_cfg = 0x00000004; dev->regs->flash_cmd_ctrl = 1; + + // add short delay to avoid mem/csr demux conflict (freeze) in intel_generic_serial_flash_interface + for(i = 0; i < DEMUX_FINISH_DELAY; i++){ + asm volatile ("nop"); + } } void __attribute__((noinline, flatten, __section__(".text_bram"))) flash_sector_erase(flash_ctrl_dev *dev, uint32_t addr) { + int i; + + // add short delay to avoid mem/csr demux conflict (freeze) in intel_generic_serial_flash_interface + for(i = 0; i < DEMUX_FINISH_DELAY; i++){ + asm volatile ("nop"); + } + // Write enable dev->regs->flash_cmd_cfg = 0x00000006; dev->regs->flash_cmd_ctrl = 1; @@ -60,4 +81,9 @@ void __attribute__((noinline, flatten, __section__(".text_bram"))) flash_sector_ if (!(dev->regs->flash_cmd_rddata[0] & (1<<0))) break; } + + // add short delay to avoid mem/csr demux conflict (freeze) in intel_generic_serial_flash_interface + for(i = 0; i < DEMUX_FINISH_DELAY; i++){ + asm volatile ("nop"); + } } diff --git a/software/sys_controller/src/menu.c b/software/sys_controller/src/menu.c index 1dda39b..037d07c 100644 --- a/software/sys_controller/src/menu.c +++ b/software/sys_controller/src/menu.c @@ -39,7 +39,7 @@ extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern alt_u8 vm_sel, profile_sel_menu, lt_sel, def_input, profile_link, lcd_bl_timeout; extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; extern alt_u8 update_cur_vm; -extern alt_u8 osd_enable, osd_status_timeout, phase_hotkey_enable; +extern alt_u8 osd_enable, osd_status_timeout, osd_highlight_color, phase_hotkey_enable; extern uint8_t sl_def_iv_x, sl_def_iv_y; extern char target_profile_name[PROFILE_NAME_LEN+1]; extern volatile osd_regs *osd; @@ -77,6 +77,7 @@ static const char* const lt_desc[] = { "Top-left", "Center", "Bottom-right" }; static const char* const lcd_bl_timeout_desc[] = { "Off", "3s", "10s", "30s" }; static const char* const osd_enable_desc[] = { "Off", "Full", "Simple" }; static const char* const osd_status_desc[] = { "2s", "5s", "10s", "Off" }; +static const char* const osd_color_desc[] = { "Green", "Cyan", "Red", "Magenta", "Yellow" }; static const char* const rgsb_ypbpr_desc[] = { "RGsB", "YPbPr" }; static const char* const auto_input_desc[] = { "Off", "Current input", "All inputs" }; static const char* const mask_color_desc[] = { "Black", "Blue", "Green", "Cyan", "Red", "Magenta", "Yellow", "White" }; @@ -250,6 +251,7 @@ MENU(menu_settings, P99_PROTECT({ \ { "LCD BL timeout", OPT_AVCONFIG_SELECTION, { .sel = { &lcd_bl_timeout, OPT_WRAP, SETTING_ITEM(lcd_bl_timeout_desc) } } }, { "OSD", OPT_AVCONFIG_SELECTION, { .sel = { &osd_enable, OPT_WRAP, SETTING_ITEM(osd_enable_desc) } } }, { "OSD status disp.", OPT_AVCONFIG_SELECTION, { .sel = { &osd_status_timeout, OPT_WRAP, SETTING_ITEM(osd_status_desc) } } }, + { "OSD cursor color", OPT_AVCONFIG_SELECTION, { .sel = { &osd_highlight_color, OPT_WRAP, SETTING_ITEM(osd_color_desc) } } }, { "Phase hotkey", OPT_AVCONFIG_SELECTION, { .sel = { &phase_hotkey_enable, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { LNG("","<プロファイルロード >"), OPT_FUNC_CALL, { .fun = { load_profile, &profile_arg_info } } }, { LNG("","<プロファイルセーブ >"), OPT_FUNC_CALL, { .fun = { save_profile, &profile_arg_info } } }, diff --git a/software/sys_controller/src/userdata.c b/software/sys_controller/src/userdata.c index 5941155..45291ab 100644 --- a/software/sys_controller/src/userdata.c +++ b/software/sys_controller/src/userdata.c @@ -50,7 +50,7 @@ extern alt_u8 profile_sel; extern alt_u8 def_input, profile_link; extern alt_u8 lcd_bl_timeout; extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; -extern alt_u8 osd_enable, osd_status_timeout, phase_hotkey_enable; +extern alt_u8 osd_enable, osd_status_timeout, osd_highlight_color, phase_hotkey_enable; extern SD_DEV sdcard_dev; extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -89,6 +89,7 @@ int write_userdata(alt_u8 entry) ((ude_initcfg*)databuf)->auto_av3_ypbpr = auto_av3_ypbpr; ((ude_initcfg*)databuf)->osd_enable = osd_enable; ((ude_initcfg*)databuf)->osd_status_timeout = osd_status_timeout; + ((ude_initcfg*)databuf)->osd_highlight_color = osd_highlight_color; ((ude_initcfg*)databuf)->phase_hotkey_enable = phase_hotkey_enable; memcpy(((ude_initcfg*)databuf)->keys, rc_keymap, sizeof(rc_keymap)); for (i=0; iauto_av3_ypbpr; osd_enable = ((ude_initcfg*)databuf)->osd_enable; osd_status_timeout = ((ude_initcfg*)databuf)->osd_status_timeout; + osd_highlight_color = ((ude_initcfg*)databuf)->osd_highlight_color; profile_link = ((ude_initcfg*)databuf)->profile_link; profile_sel = input_profiles[AV_TESTPAT]; // Global profile lcd_bl_timeout = ((ude_initcfg*)databuf)->lcd_bl_timeout; diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index b3fb516..f848e4b 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -136,7 +136,10 @@ BSP_CFLAGS_OPTIMIZATION = -Os # C/C++ compiler warning level. "-Wall" is commonly used.This setting defines # the value of BSP_CFLAGS_WARNINGS in Makefile. -BSP_CFLAGS_WARNINGS = -Wall +BSP_CFLAGS_WARNINGS = -Wall -Wno-unused-but-set-variable -Wno-unused-variable -Wno-unused-function + +# Match APP flags +BSP_CFLAGS_USER_FLAGS := -fdata-sections -ffunction-sections -fshort-enums -fgnu89-inline -flto -msmall-data-limit=0 # C compiler command. CC = riscv64-unknown-elf-gcc -xc diff --git a/sys.sopcinfo b/sys.sopcinfo deleted file mode 100644 index 14c6555..0000000 --- a/sys.sopcinfo +++ /dev/null @@ -1,15640 +0,0 @@ - - - - - - - java.lang.Integer - 1750492092 - false - true - false - true - GENERATION_ID - - - java.lang.String - - false - true - false - true - UNIQUE_ID - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - EP4CE15E22C8 - false - true - false - true - DEVICE - - - java.lang.String - 8 - false - true - false - true - DEVICE_SPEEDGRADE - - - java.lang.Long - -1 - false - true - false - true - CLOCK_RATE - clk - - - java.lang.Integer - -1 - false - true - false - true - CLOCK_DOMAIN - clk - - - java.lang.Integer - -1 - false - true - false - true - RESET_DOMAIN - clk - - - java.lang.String - Cyclone IV E - false - true - false - true - DEVICE_FAMILY - - - boolean - false - false - true - true - true - - - - - long - 27000000 - false - true - true - true - - - boolean - true - false - true - true - true - - - long - 0 - false - true - false - true - CLOCK_RATE - clk_in - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - qsys.ui.export_name - clk - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - - clock - false - - in_clk - Input - 1 - clk - - - - - - qsys.ui.export_name - reset - - - java.lang.String - clk_in - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - - - - - java.lang.String - clk_in - false - true - true - true - - - long - 27000000 - false - true - true - true - - - boolean - true - false - true - true - true - - - boolean - true - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - true - - clk_out - Output - 1 - clk - - - false - jtag_uart_0 - clk - jtag_uart_0.clk - - - false - pio_0 - clk - pio_0.clk - - - false - pio_1 - clk - pio_1.clk - - - false - timer_0 - clk - timer_0.clk - - - false - master_0 - clk - master_0.clk - - - false - intel_generic_serial_flash_interface_top_0 - clk - intel_generic_serial_flash_interface_top_0.clk - - - false - po_reset_bridge_0 - clk - po_reset_bridge_0.clk - - - false - onchip_memory2_0 - clk1 - onchip_memory2_0.clk1 - - - false - hw_crc32_0 - clk_sink - hw_crc32_0.clk_sink - - - false - ibex_0 - clk_sink - ibex_0.clk_sink - - - false - i2c_opencores_0 - clock - i2c_opencores_0.clock - - - false - i2c_opencores_1 - clock - i2c_opencores_1.clock - - - false - remote_update_0 - clock - remote_update_0.clock - - - false - sc_config_0 - clock_sink - sc_config_0.clock_sink - - - false - osd_generator_0 - clock_sink - osd_generator_0.clock_sink - - - false - pll_reconfig_0 - clock_sink - pll_reconfig_0.clock_sink - - - - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - clk_in_reset - false - true - true - true - - - [Ljava.lang.String; - clk_in_reset - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - true - - reset_n_out - Output - 1 - reset_n - - - - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk_sink - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset - Input - 1 - reset - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 32 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk_sink - false - true - true - true - - - java.lang.String - reset_sink - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - address - Input - 3 - address - - - readdata - Output - 32 - readdata - - - read - Input - 1 - read - - - chipselect - Input - 1 - chipselect - - - byteenable - Input - 4 - byteenable - - - write - Input - 1 - write - - - writedata - Input - 32 - writedata - - - - - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - wb_clk_i - Input - 1 - clk - - - - - - java.lang.String - clock - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - wb_rst_i - Input - 1 - reset - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - scl_pad_io - Bidir - 1 - export - - - sda_pad_io - Bidir - 1 - export - - - spi_miso_pad_i - Input - 1 - export - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - NATIVE - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 8 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - clock_reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - wb_adr_i - Input - 3 - address - - - wb_dat_i - Input - 8 - writedata - - - wb_dat_o - Output - 8 - readdata - - - wb_we_i - Input - 1 - write - - - wb_stb_i - Input - 1 - chipselect - - - wb_ack_o - Output - 1 - waitrequest_n - - - - - - com.altera.entityinterfaces.IConnectionPoint - i2c_opencores_0.avalon_slave_0 - false - true - true - true - - - java.lang.String - clock - false - true - false - true - - - java.lang.String - clock_reset - false - true - false - true - - - java.lang.Integer - - false - true - true - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - NONE - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - false - - wb_inta_o - Output - 1 - irq - - - - - - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - wb_clk_i - Input - 1 - clk - - - - - - java.lang.String - clock - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - wb_rst_i - Input - 1 - reset - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - scl_pad_io - Bidir - 1 - export - - - sda_pad_io - Bidir - 1 - export - - - spi_miso_pad_i - Input - 1 - export - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - NATIVE - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 8 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - clock_reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - wb_adr_i - Input - 3 - address - - - wb_dat_i - Input - 8 - writedata - - - wb_dat_o - Output - 8 - readdata - - - wb_we_i - Input - 1 - write - - - wb_stb_i - Input - 1 - chipselect - - - wb_ack_o - Output - 1 - waitrequest_n - - - - - - com.altera.entityinterfaces.IConnectionPoint - i2c_opencores_1.avalon_slave_0 - false - true - true - true - - - java.lang.String - clock - false - true - false - true - - - java.lang.String - clock_reset - false - true - false - true - - - java.lang.Integer - - false - true - true - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - NONE - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - false - - wb_inta_o - Output - 1 - irq - - - - - - - boolean - true - false - true - true - true - - - boolean - true - false - true - true - true - - - java.math.BigInteger - 0 - false - true - false - true - INTERRUPTS_USED - software_irq - - - java.math.BigInteger - 1 - false - true - false - true - INTERRUPTS_USED - timer_irq - - - java.math.BigInteger - 0 - false - true - false - true - INTERRUPTS_USED - external_irq - - - java.math.BigInteger - 7 - false - true - false - true - INTERRUPTS_USED - fast_irq - - - java.math.BigInteger - 0 - false - true - false - true - INTERRUPTS_USED - not_maskable_irq - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk_i - Input - 1 - clk - - - - - - java.lang.String - clk_sink - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - rst_ni - Input - 1 - reset_n - - - - - - java.lang.String - clk_sink - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - po_rst_ni - Input - 1 - reset_n - - - - - - java.lang.String - clk_sink - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - ndmreset_o - Output - 1 - ndmreset_o - - - ndmreset_ack_i - Input - 1 - ndmreset_ack_i - - - - - - java.lang.String - clk_sink - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - boot_addr_i - Input - 32 - boot_addr_i - - - core_sleep_o - Output - 1 - core_sleep_o - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - java.lang.String - clk_sink - false - true - false - true - - - java.lang.String - reset_sink - false - true - false - true - - - java.lang.String - - false - true - false - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - INDIVIDUAL_REQUESTS - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - true - - irq_software_i - Input - 1 - irq - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - java.lang.String - clk_sink - false - true - false - true - - - java.lang.String - reset_sink - false - true - false - true - - - java.lang.String - - false - true - false - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - INDIVIDUAL_REQUESTS - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - true - - irq_timer_i - Input - 1 - irq - - - false - timer_0 - irq - timer_0.irq - 0 - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - java.lang.String - clk_sink - false - true - false - true - - - java.lang.String - reset_sink - false - true - false - true - - - java.lang.String - - false - true - false - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - INDIVIDUAL_REQUESTS - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - true - - irq_external_i - Input - 1 - irq - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - java.lang.String - clk_sink - false - true - false - true - - - java.lang.String - reset_sink - false - true - false - true - - - java.lang.String - - false - true - false - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - INDIVIDUAL_REQUESTS - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - true - - irq_fast_i - Input - 15 - irq - - - false - i2c_opencores_0 - interrupt_sender - i2c_opencores_0.interrupt_sender - 1 - - - false - i2c_opencores_1 - interrupt_sender - i2c_opencores_1.interrupt_sender - 2 - - - false - jtag_uart_0 - irq - jtag_uart_0.irq - 0 - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - java.lang.String - clk_sink - false - true - false - true - - - java.lang.String - reset_sink - false - true - false - true - - - java.lang.String - - false - true - false - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - INDIVIDUAL_REQUESTS - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - true - - irq_nm_i - Input - 1 - irq - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - int - 0 - false - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - SYMBOLS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk_sink - false - true - true - true - - - java.lang.String - reset_sink - false - true - true - true - - - int - 8 - false - true - true - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 32 - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - true - - bus_instr_addr - Output - 32 - address - - - bus_instr_rdata - Input - 32 - readdata - - - bus_instr_read - Output - 1 - read - - - bus_instr_rvalid - Input - 1 - readdatavalid - - - bus_instr_busy - Input - 1 - waitrequest - - - false - ibex_0 - avalon_slave_dm - ibex_0.avalon_slave_dm - 0 - 4096 - - - false - intel_generic_serial_flash_interface_top_0 - avl_mem - intel_generic_serial_flash_interface_top_0.avl_mem - 33554432 - 2097152 - - - false - onchip_memory2_0 - s1 - onchip_memory2_0.s1 - 65536 - 16384 - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - int - 0 - false - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - SYMBOLS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk_sink - false - true - true - true - - - java.lang.String - reset_sink - false - true - true - true - - - int - 8 - false - true - true - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 32 - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - true - - bus_data_addr - Output - 32 - address - - - bus_data_rdata - Input - 32 - readdata - - - bus_data_read - Output - 1 - read - - - bus_data_rvalid - Input - 1 - readdatavalid - - - bus_data_busy - Input - 1 - waitrequest - - - bus_data_write - Output - 1 - write - - - bus_data_be - Output - 4 - byteenable - - - bus_data_wdata - Output - 32 - writedata - - - bus_data_resp - Input - 2 - response - - - bus_data_wrespvalid - Input - 1 - writeresponsevalid - - - false - jtag_uart_0 - avalon_jtag_slave - jtag_uart_0.avalon_jtag_slave - 131136 - 8 - - - false - sc_config_0 - avalon_s - sc_config_0.avalon_s - 139264 - 4096 - - - false - osd_generator_0 - avalon_s - osd_generator_0.avalon_s - 147456 - 1024 - - - false - pll_reconfig_0 - avalon_s - pll_reconfig_0.avalon_s - 163840 - 32 - - - false - hw_crc32_0 - avalon_slave - hw_crc32_0.avalon_slave - 135168 - 32 - - - false - i2c_opencores_0 - avalon_slave_0 - i2c_opencores_0.avalon_slave_0 - 135232 - 32 - - - false - i2c_opencores_1 - avalon_slave_0 - i2c_opencores_1.avalon_slave_0 - 135200 - 32 - - - false - ibex_0 - avalon_slave_dm - ibex_0.avalon_slave_dm - 0 - 4096 - - - false - intel_generic_serial_flash_interface_top_0 - avl_csr - intel_generic_serial_flash_interface_top_0.avl_csr - 131328 - 256 - - - false - remote_update_0 - avl_csr - remote_update_0.avl_csr - 172032 - 128 - - - false - intel_generic_serial_flash_interface_top_0 - avl_mem - intel_generic_serial_flash_interface_top_0.avl_mem - 33554432 - 2097152 - - - false - timer_0 - s1 - timer_0.s1 - 131072 - 64 - - - false - pio_0 - s1 - pio_0.s1 - 135392 - 16 - - - false - pio_1 - s1 - pio_1.s1 - 135376 - 16 - - - false - onchip_memory2_0 - s2 - onchip_memory2_0.s2 - 65536 - 16384 - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 4096 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - SYMBOLS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk_sink - false - true - true - true - - - java.lang.String - reset_sink - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - dm_avalon_s_address - Input - 12 - address - - - dm_avalon_s_readdata - Output - 32 - readdata - - - dm_avalon_s_read - Input - 1 - read - - - dm_avalon_s_write - Input - 1 - write - - - dm_avalon_s_writedata - Input - 32 - writedata - - - dm_avalon_s_byteenable - Input - 4 - byteenable - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 1024 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - SYMBOLS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk_sink - false - true - true - true - - - java.lang.String - reset_sink - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - dbgreg_avalon_s_address - Input - 10 - address - - - dbgreg_avalon_s_readdata - Output - 32 - readdata - - - dbgreg_avalon_s_readdatavalid - Output - 1 - readdatavalid - - - dbgreg_avalon_s_read - Input - 1 - read - - - dbgreg_avalon_s_response - Output - 2 - response - - - dbgreg_avalon_s_write - Input - 1 - write - - - dbgreg_avalon_s_writedata - Input - 32 - writedata - - - dbgreg_avalon_s_writeresponsevalid - Output - 1 - writeresponsevalid - - - dbgreg_avalon_s_byteenable - Input - 4 - byteenable - - - dbgreg_avalon_s_chipselect - Input - 1 - chipselect - - - dbgreg_avalon_s_waitrequest_n - Output - 1 - waitrequest_n - - - - - - - embeddedsw.memoryInfo.GENERATE_DAT_SYM - 0 - - - embeddedsw.memoryInfo.GENERATE_FLASH - 0 - - - embeddedsw.memoryInfo.GENERATE_HEX - 1 - - - embeddedsw.memoryInfo.HEX_INSTALL_DIR - QPF_DIR - - - embeddedsw.memoryInfo.IS_FLASH - 1 - - - embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH - 32 - - - embeddedsw.memoryInfo.USE_BYTE_ADDRESSING_FOR_HEX - 1 - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - int - 16 - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 19 - true - true - false - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 3 - false - true - false - true - - - int - 1282 - false - true - false - true - - - int - 6149 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - java.lang.String - EP4CE15E22C8 - false - true - false - true - DEVICE - - - java.lang.String - 8 - false - true - false - true - DEVICE_SPEEDGRADE - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 256 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - 0 - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - avl_csr_address - Input - 6 - address - - - avl_csr_read - Input - 1 - read - - - avl_csr_readdata - Output - 32 - readdata - - - avl_csr_write - Input - 1 - write - - - avl_csr_writedata - Input - 32 - writedata - - - avl_csr_waitrequest - Output - 1 - waitrequest - - - avl_csr_readdatavalid - Output - 1 - readdatavalid - - - - - - embeddedsw.configuration.isFlash - 1 - - - embeddedsw.configuration.isMemoryDevice - 1 - - - embeddedsw.configuration.isNonVolatileStorage - 1 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 2097152 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - 0 - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - true - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - avl_mem_write - Input - 1 - write - - - avl_mem_burstcount - Input - 7 - burstcount - - - avl_mem_waitrequest - Output - 1 - waitrequest - - - avl_mem_read - Input - 1 - read - - - avl_mem_address - Input - 19 - address - - - avl_mem_writedata - Input - 32 - writedata - - - avl_mem_readdata - Output - 32 - readdata - - - avl_mem_readdatavalid - Output - 1 - readdatavalid - - - avl_mem_byteenable - Input - 4 - byteenable - - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk_clk - Input - 1 - clk - - - - - - java.lang.String - - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - NONE - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_reset - Input - 1 - reset - - - - - - - embeddedsw.CMacro.READ_DEPTH - 16 - - - embeddedsw.CMacro.READ_THRESHOLD - 8 - - - embeddedsw.CMacro.WRITE_DEPTH - 64 - - - embeddedsw.CMacro.WRITE_THRESHOLD - 8 - - - embeddedsw.dts.compatible - altr,juart-1.0 - - - embeddedsw.dts.group - serial - - - embeddedsw.dts.name - juart - - - embeddedsw.dts.vendor - altr - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 16 - false - true - true - true - - - int - 8 - false - true - true - true - - - java.lang.String - - false - false - false - true - - - java.lang.String - NO_INTERACTIVE_WINDOWS - false - true - false - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - false - true - - - int - 64 - false - true - true - true - - - int - 8 - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 8 - true - true - false - true - - - int - 6 - true - true - false - true - - - int - 4 - true - true - false - true - - - java.lang.String - ON - true - true - false - true - - - java.lang.String - OFF - true - true - false - true - - - int - 64 - true - true - false - true - - - int - 16 - true - true - false - true - - - long - 27000000 - false - true - false - true - CLOCK_RATE - clk - - - java.lang.String - 2.0 - false - true - false - true - AVALON_SPEC - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - rst_n - Input - 1 - reset_n - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 1 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - NATIVE - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 2 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - true - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - av_chipselect - Input - 1 - chipselect - - - av_address - Input - 1 - address - - - av_read_n - Input - 1 - read_n - - - av_readdata - Output - 32 - readdata - - - av_write_n - Input - 1 - write_n - - - av_writedata - Input - 32 - writedata - - - av_waitrequest - Output - 1 - waitrequest - - - - - - com.altera.entityinterfaces.IConnectionPoint - jtag_uart_0.avalon_jtag_slave - false - true - true - true - - - java.lang.String - clk - false - true - false - true - - - java.lang.String - reset - false - true - false - true - - - java.lang.Integer - - false - true - true - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - NONE - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - false - - av_irq - Output - 1 - irq - - - - - - - debug.hostConnection - type jtag id 110:132 - - - int - 0 - false - true - true - true - - - int - 50000 - false - false - true - true - - - int - 0 - false - true - false - true - CLOCK_RATE - clock - - - int - 0 - false - true - true - true - - - int - 2 - false - false - true - true - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - EP4CE15E22C8 - false - true - false - true - DEVICE - - - java.lang.String - 8 - false - true - false - true - DEVICE_SPEEDGRADE - - - java.lang.String - Cyclone IV E - false - true - false - true - DEVICE_FAMILY - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk_clk - Input - 1 - clk - - - - - - java.lang.String - - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - NONE - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - clk_reset_reset - Input - 1 - reset - - - - - - debug.providesServices - master - - - debug.visible - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - int - 0 - false - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - SYMBOLS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - clk_reset - false - true - true - true - - - int - 8 - false - true - true - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 32 - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - true - - master_address - Output - 32 - address - - - master_readdata - Input - 32 - readdata - - - master_read - Output - 1 - read - - - master_write - Output - 1 - write - - - master_writedata - Output - 32 - writedata - - - master_waitrequest - Input - 1 - waitrequest - - - master_readdatavalid - Input - 1 - readdatavalid - - - master_byteenable - Output - 4 - byteenable - - - false - ibex_0 - avalon_slave_dbgreg - ibex_0.avalon_slave_dbgreg - 0 - 1024 - - - false - intel_generic_serial_flash_interface_top_0 - avl_csr - intel_generic_serial_flash_interface_top_0.avl_csr - 131328 - 256 - - - false - intel_generic_serial_flash_interface_top_0 - avl_mem - intel_generic_serial_flash_interface_top_0.avl_mem - 33554432 - 2097152 - - - false - onchip_memory2_0 - s2 - onchip_memory2_0.s2 - 65536 - 16384 - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - [Ljava.lang.String; - none - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - NONE - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - true - - master_reset_reset - Output - 1 - reset - - - - - - - embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR - 0 - - - embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE - 0 - - - embeddedsw.CMacro.CONTENTS_INFO - "" - - - embeddedsw.CMacro.DUAL_PORT - 1 - - - embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE - AUTO - - - embeddedsw.CMacro.INIT_CONTENTS_FILE - sys_onchip_memory2_0 - - - embeddedsw.CMacro.INIT_MEM_CONTENT - 0 - - - embeddedsw.CMacro.INSTANCE_ID - NONE - - - embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED - 0 - - - embeddedsw.CMacro.RAM_BLOCK_TYPE - AUTO - - - embeddedsw.CMacro.READ_DURING_WRITE_MODE - DONT_CARE - - - embeddedsw.CMacro.SINGLE_CLOCK_OP - 1 - - - embeddedsw.CMacro.SIZE_MULTIPLE - 1 - - - embeddedsw.CMacro.SIZE_VALUE - 16384 - - - embeddedsw.CMacro.WRITABLE - 1 - - - embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR - SIM_DIR - - - embeddedsw.memoryInfo.GENERATE_DAT_SYM - 1 - - - embeddedsw.memoryInfo.GENERATE_HEX - 1 - - - embeddedsw.memoryInfo.HAS_BYTE_LANE - 0 - - - embeddedsw.memoryInfo.HEX_INSTALL_DIR - QPF_DIR - - - embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH - 32 - - - embeddedsw.memoryInfo.MEM_INIT_FILENAME - sys_onchip_memory2_0 - - - postgeneration.simulation.init_file.param_name - INIT_FILE - - - postgeneration.simulation.init_file.type - MEM_INIT - - - boolean - false - false - true - true - true - - - java.lang.String - AUTO - false - true - true - true - - - int - 32 - false - true - true - true - - - int - 32 - false - true - false - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - true - true - false - true - - - boolean - false - false - true - true - true - - - java.lang.String - onchip_mem.hex - false - false - true - true - - - boolean - false - false - false - true - true - - - java.lang.String - NONE - false - false - true - true - - - long - 16384 - false - true - true - true - - - java.lang.String - DONT_CARE - false - true - true - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - boolean - true - false - true - true - true - - - boolean - true - true - true - false - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - boolean - false - false - false - true - true - - - boolean - false - false - false - false - true - - - boolean - false - false - false - true - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - sys_onchip_memory2_0 - false - true - false - true - UNIQUE_ID - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_JZ_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_LTH_485_PIN 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 - false - true - false - true - DEVICE_FEATURES - - - int - 12 - true - true - false - true - - - int - 12 - true - true - false - true - - - int - 32 - true - true - false - true - - - int - 32 - true - true - false - true - - - java.lang.String - Automatic - true - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - sys_onchip_memory2_0.hex - true - true - false - true - - - boolean - false - false - true - true - true - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 1 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 1 - false - true - false - true - - - java.math.BigInteger - 16384 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk1 - false - true - true - true - - - java.lang.String - reset1 - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 16384 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1 - false - true - true - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - address - Input - 12 - address - - - clken - Input - 1 - clken - - - chipselect - Input - 1 - chipselect - - - write - Input - 1 - write - - - readdata - Output - 32 - readdata - - - writedata - Input - 32 - writedata - - - byteenable - Input - 4 - byteenable - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 1 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 1 - false - true - false - true - - - java.math.BigInteger - 16384 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk1 - false - true - true - true - - - java.lang.String - reset1 - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 16384 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1 - false - true - true - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - address2 - Input - 12 - address - - - chipselect2 - Input - 1 - chipselect - - - clken2 - Input - 1 - clken - - - write2 - Input - 1 - write - - - readdata2 - Output - 32 - readdata - - - writedata2 - Input - 32 - writedata - - - byteenable2 - Input - 4 - byteenable - - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk1 - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset - Input - 1 - reset - - - - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk_i - Input - 1 - clk - - - - - - java.lang.String - clock_sink - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - rst_i - Input - 1 - reset - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 1024 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clock_sink - false - true - true - true - - - java.lang.String - reset_sink - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - avalon_s_address - Input - 8 - address - - - avalon_s_writedata - Input - 32 - writedata - - - avalon_s_readdata - Output - 32 - readdata - - - avalon_s_byteenable - Input - 4 - byteenable - - - avalon_s_write - Input - 1 - write - - - avalon_s_read - Input - 1 - read - - - avalon_s_chipselect - Input - 1 - chipselect - - - avalon_s_waitrequest_n - Output - 1 - waitrequest_n - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - vclk - Input - 1 - vclk - - - xpos - Input - 11 - xpos - - - ypos - Input - 11 - ypos - - - osd_enable - Output - 1 - osd_enable - - - osd_color - Output - 2 - osd_color - - - - - - - embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER - 0 - - - embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER - 0 - - - embeddedsw.CMacro.CAPTURE - 0 - - - embeddedsw.CMacro.DATA_WIDTH - 32 - - - embeddedsw.CMacro.DO_TEST_BENCH_WIRING - 0 - - - embeddedsw.CMacro.DRIVEN_SIM_VALUE - 0 - - - embeddedsw.CMacro.EDGE_TYPE - NONE - - - embeddedsw.CMacro.FREQ - 27000000 - - - embeddedsw.CMacro.HAS_IN - 0 - - - embeddedsw.CMacro.HAS_OUT - 1 - - - embeddedsw.CMacro.HAS_TRI - 0 - - - embeddedsw.CMacro.IRQ_TYPE - NONE - - - embeddedsw.CMacro.RESET_VALUE - 0 - - - embeddedsw.dts.compatible - altr,pio-1.0 - - - embeddedsw.dts.group - gpio - - - embeddedsw.dts.name - pio - - - embeddedsw.dts.params.altr,gpio-bank-width - 32 - - - embeddedsw.dts.params.resetvalue - 0 - - - embeddedsw.dts.vendor - altr - - - boolean - false - false - false - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - false - true - true - - - java.lang.String - Output - false - true - true - true - - - java.lang.String - RISING - false - false - true - true - - - boolean - false - false - false - true - true - - - java.lang.String - LEVEL - false - false - true - true - - - long - 0 - false - true - true - true - - - boolean - false - false - false - true - true - - - long - 0 - false - false - true - true - - - int - 32 - false - true - true - true - - - long - 27000000 - false - true - false - true - CLOCK_RATE - clk - - - boolean - false - true - true - false - true - - - boolean - true - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - NONE - true - true - false - true - - - java.lang.String - NONE - true - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - NATIVE - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 4 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - address - Input - 2 - address - - - write_n - Input - 1 - write_n - - - writedata - Input - 32 - writedata - - - chipselect - Input - 1 - chipselect - - - readdata - Output - 32 - readdata - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - out_port - Output - 32 - export - - - - - - - embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER - 0 - - - embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER - 0 - - - embeddedsw.CMacro.CAPTURE - 0 - - - embeddedsw.CMacro.DATA_WIDTH - 32 - - - embeddedsw.CMacro.DO_TEST_BENCH_WIRING - 0 - - - embeddedsw.CMacro.DRIVEN_SIM_VALUE - 0 - - - embeddedsw.CMacro.EDGE_TYPE - NONE - - - embeddedsw.CMacro.FREQ - 27000000 - - - embeddedsw.CMacro.HAS_IN - 1 - - - embeddedsw.CMacro.HAS_OUT - 0 - - - embeddedsw.CMacro.HAS_TRI - 0 - - - embeddedsw.CMacro.IRQ_TYPE - NONE - - - embeddedsw.CMacro.RESET_VALUE - 0 - - - embeddedsw.dts.compatible - altr,pio-1.0 - - - embeddedsw.dts.group - gpio - - - embeddedsw.dts.name - pio - - - embeddedsw.dts.params.altr,gpio-bank-width - 32 - - - embeddedsw.dts.params.resetvalue - 0 - - - embeddedsw.dts.vendor - altr - - - boolean - false - false - false - true - true - - - boolean - false - false - false - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - Input - false - true - true - true - - - java.lang.String - RISING - false - false - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - LEVEL - false - false - true - true - - - long - 0 - false - false - true - true - - - boolean - false - false - true - true - true - - - long - 0 - false - false - true - true - - - int - 32 - false - true - true - true - - - long - 27000000 - false - true - false - true - CLOCK_RATE - clk - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - true - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - NONE - true - true - false - true - - - java.lang.String - NONE - true - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - NATIVE - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 4 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - address - Input - 2 - address - - - readdata - Output - 32 - readdata - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - in_port - Input - 32 - export - - - - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk_i - Input - 1 - clk - - - - - - java.lang.String - clock_sink - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - rst_i - Input - 1 - reset - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 32 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clock_sink - false - true - true - true - - - java.lang.String - reset_sink - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - avalon_s_address - Input - 3 - address - - - avalon_s_writedata - Input - 32 - writedata - - - avalon_s_readdata - Output - 32 - readdata - - - avalon_s_byteenable - Input - 4 - byteenable - - - avalon_s_write - Input - 1 - write - - - avalon_s_read - Input - 1 - read - - - avalon_s_chipselect - Input - 1 - chipselect - - - avalon_s_waitrequest_n - Output - 1 - waitrequest_n - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - areset - Output - 1 - areset - - - scanclk - Output - 1 - scanclk - - - scanclkena - Output - 1 - scanclkena - - - configupdate - Output - 1 - configupdate - - - scandata - Output - 1 - scandata - - - scandone - Input - 1 - scandone - - - - - - - int - 1 - false - true - true - true - - - java.lang.String - deassert - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.Long - 27000000 - false - true - false - true - CLOCK_RATE - clk - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - in_reset_n - Input - 1 - reset_n - - - - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - in_reset - false - true - true - true - - - [Ljava.lang.String; - in_reset - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - true - - out_reset_n - Output - 1 - reset_n - - - - - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - EP4CE15E22C8 - false - true - false - true - DEVICE - - - java.lang.String - ALL - false - true - false - true - - - java.lang.String - REMOTE - false - true - true - true - - - java.lang.String - EPCS16 - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 24 - true - true - false - true - - - boolean - false - true - true - false - true - - - int - 24 - true - true - false - true - - - int - 24 - true - true - false - true - - - java.lang.String - 8 - false - true - false - true - DEVICE_SPEEDGRADE - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 128 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - 0 - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - avl_csr_write - Input - 1 - write - - - avl_csr_read - Input - 1 - read - - - avl_csr_writedata - Input - 32 - writedata - - - avl_csr_readdata - Output - 32 - readdata - - - avl_csr_readdatavalid - Output - 1 - readdatavalid - - - avl_csr_waitrequest - Output - 1 - waitrequest - - - avl_csr_address - Input - 5 - address - - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clock - Input - 1 - clk - - - - - - java.lang.String - clock - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset - Input - 1 - reset - - - - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk_i - Input - 1 - clk - - - - - - java.lang.String - clock_sink - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - rst_i - Input - 1 - reset - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 4096 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clock_sink - false - true - true - true - - - java.lang.String - reset_sink - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - avalon_s_address - Input - 10 - address - - - avalon_s_writedata - Input - 32 - writedata - - - avalon_s_readdata - Output - 32 - readdata - - - avalon_s_byteenable - Input - 4 - byteenable - - - avalon_s_write - Input - 1 - write - - - avalon_s_read - Input - 1 - read - - - avalon_s_chipselect - Input - 1 - chipselect - - - avalon_s_waitrequest_n - Output - 1 - waitrequest_n - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - fe_status_i - Input - 32 - fe_status_i - - - fe_status2_i - Input - 32 - fe_status2_i - - - lt_status_i - Input - 32 - lt_status_i - - - hv_in_config_o - Output - 32 - hv_in_config_o - - - hv_in_config2_o - Output - 32 - hv_in_config2_o - - - hv_in_config3_o - Output - 32 - hv_in_config3_o - - - hv_out_config_o - Output - 32 - hv_out_config_o - - - hv_out_config2_o - Output - 32 - hv_out_config2_o - - - hv_out_config3_o - Output - 32 - hv_out_config3_o - - - xy_out_config_o - Output - 32 - xy_out_config_o - - - xy_out_config2_o - Output - 32 - xy_out_config2_o - - - misc_config_o - Output - 32 - misc_config_o - - - sl_config_o - Output - 32 - sl_config_o - - - sl_config2_o - Output - 32 - sl_config2_o - - - sl_config3_o - Output - 32 - sl_config3_o - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - vclk - Input - 1 - vclk - - - shmask_xpos - Input - 4 - shmask_xpos - - - shmask_ypos - Input - 4 - shmask_ypos - - - shmask_data - Output - 11 - shmask_data - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - lumacode_clk_i - Input - 1 - lumacode_clk_i - - - lumacode_addr_i - Input - 9 - lumacode_addr_i - - - lumacode_rden_i - Input - 1 - lumacode_rden_i - - - lumacode_data_o - Output - 32 - lumacode_data_o - - - - - - - embeddedsw.CMacro.ALWAYS_RUN - 0 - - - embeddedsw.CMacro.COUNTER_SIZE - 64 - - - embeddedsw.CMacro.FIXED_PERIOD - 0 - - - embeddedsw.CMacro.FREQ - 27000000 - - - embeddedsw.CMacro.LOAD_VALUE - 4294967294 - - - embeddedsw.CMacro.MULT - 3.7037037037037036e-8 - - - embeddedsw.CMacro.PERIOD - 0xffffffff - - - embeddedsw.CMacro.PERIOD_UNITS - clocks - - - embeddedsw.CMacro.RESET_OUTPUT - 0 - - - embeddedsw.CMacro.SNAPSHOT - 1 - - - embeddedsw.CMacro.TICKS_PER_SEC - 0 - - - embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT - 0 - - - embeddedsw.CMacro.TIMER_DEVICE_TYPE - 1 - - - embeddedsw.dts.compatible - altr,timer-1.0 - - - embeddedsw.dts.group - timer - - - embeddedsw.dts.name - timer - - - embeddedsw.dts.params.clock-frequency - 27000000 - - - embeddedsw.dts.vendor - altr - - - boolean - false - false - true - true - true - - - int - 64 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - 0xffffffff - false - true - true - true - - - java.lang.String - CLOCKS - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - true - true - - - long - 27000000 - false - true - false - true - CLOCK_RATE - clk - - - int - 2 - false - true - false - true - - - java.lang.String - FULL_FEATURED - true - true - false - true - - - java.lang.String - clocks - true - true - false - true - - - double - 0.0 - true - true - false - true - - - java.lang.String - 4294967294 - true - true - false - true - - - double - 3.7037037037037036E-8 - true - true - false - true - - - double - 0.0 - true - true - false - true - - - int - 4 - true - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - embeddedsw.configuration.isTimerDevice - 1 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - NATIVE - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 16 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - address - Input - 4 - address - - - writedata - Input - 16 - writedata - - - readdata - Output - 16 - readdata - - - chipselect - Input - 1 - chipselect - - - write_n - Input - 1 - write_n - - - - - - com.altera.entityinterfaces.IConnectionPoint - timer_0.s1 - false - true - true - true - - - java.lang.String - clk - false - true - false - true - - - java.lang.String - reset - false - true - false - true - - - java.lang.Integer - - false - true - true - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - true - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - NONE - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - false - - irq - Output - 1 - irq - - - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00020040 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - jtag_uart_0 - avalon_jtag_slave - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00022000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - sc_config_0 - avalon_s - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00024000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - osd_generator_0 - avalon_s - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00028000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - pll_reconfig_0 - avalon_s - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00021000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - hw_crc32_0 - avalon_slave - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00021040 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - i2c_opencores_0 - avalon_slave_0 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00021020 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - i2c_opencores_1 - avalon_slave_0 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x0000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - ibex_0 - avalon_slave_dm - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00020100 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - intel_generic_serial_flash_interface_top_0 - avl_csr - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x0002a000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - remote_update_0 - avl_csr - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x02000000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - intel_generic_serial_flash_interface_top_0 - avl_mem - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00020000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - timer_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x000210e0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - pio_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x000210d0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - pio_1 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00010000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_data - onchip_memory2_0 - s2 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x0000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_instr - ibex_0 - avalon_slave_dm - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x02000000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_instr - intel_generic_serial_flash_interface_top_0 - avl_mem - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00010000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - avalon_master_bus_instr - onchip_memory2_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x0000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - master_0 - master - ibex_0 - avalon_slave_dbgreg - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00020100 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - master_0 - master - intel_generic_serial_flash_interface_top_0 - avl_csr - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x02000000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - master_0 - master - intel_generic_serial_flash_interface_top_0 - avl_mem - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00010000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - master_0 - master - onchip_memory2_0 - s2 - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - jtag_uart_0 - clk - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - pio_0 - clk - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - pio_1 - clk - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - timer_0 - clk - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - master_0 - clk - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - intel_generic_serial_flash_interface_top_0 - clk - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - po_reset_bridge_0 - clk - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - onchip_memory2_0 - clk1 - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - hw_crc32_0 - clk_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - ibex_0 - clk_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - i2c_opencores_0 - clock - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - i2c_opencores_1 - clock - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - remote_update_0 - clock - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - sc_config_0 - clock_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - osd_generator_0 - clock_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - pll_reconfig_0 - clock_sink - - - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - fast_irq - i2c_opencores_0 - interrupt_sender - - - - int - 2 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - fast_irq - i2c_opencores_1 - interrupt_sender - - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - fast_irq - jtag_uart_0 - irq - - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - ibex_0 - timer_irq - timer_0 - irq - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - i2c_opencores_0 - clock_reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - i2c_opencores_1 - clock_reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - jtag_uart_0 - reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - pio_0 - reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - pio_1 - reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - timer_0 - reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - intel_generic_serial_flash_interface_top_0 - reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - remote_update_0 - reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - onchip_memory2_0 - reset1 - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - hw_crc32_0 - reset_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - sc_config_0 - reset_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - osd_generator_0 - reset_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - pll_reconfig_0 - reset_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - ibex_0 - reset_sink - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - po_reset_bridge_0 - out_reset - master_0 - clk_reset - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - po_reset_bridge_0 - out_reset - ibex_0 - po_reset_sink - - - 1 - clock_source - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Clock Source - 24.1 - - - 1 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 24.1 - - - 1 - reset_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input - 24.1 - - - 1 - clock_source - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Output - 24.1 - - - 1 - reset_source - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Output - 24.1 - - - 1 - hw_crc32 - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - hw_crc32 - 1.0 - - - 16 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 24.1 - - - 17 - reset_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input - 24.1 - - - 17 - avalon_slave - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Slave - 24.1 - - - 2 - i2c_opencores - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - I2C Master (opencores.org) - 17.1 - - - 11 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 24.1 - - - 4 - interrupt_sender - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Sender - 24.1 - - - 1 - ibex - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - ibex - 1.0 - - - 5 - interrupt_receiver - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Receiver - 24.1 - - - 3 - avalon_master - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Avalon Memory Mapped Master - 24.1 - - - 1 - intel_generic_serial_flash_interface_top - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Generic Serial Flash Interface Intel FPGA IP - 24.1 - - - 1 - altera_avalon_jtag_uart - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - JTAG UART Intel FPGA IP - 24.1 - - - 1 - altera_jtag_avalon_master - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - JTAG to Avalon Master Bridge - 24.1 - - - 2 - reset_source - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Output - 24.1 - - - 1 - altera_avalon_onchip_memory2 - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - On-Chip Memory (RAM or ROM) Intel FPGA IP - 24.1 - - - 1 - osd_generator - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - osd_generator - 1.0 - - - 2 - altera_avalon_pio - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - PIO (Parallel I/O) Intel FPGA IP - 24.1 - - - 1 - pll_reconfig - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - pll_reconfig - 1.0 - - - 1 - altera_reset_bridge - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Reset Bridge - 24.1 - - - 1 - altera_remote_update - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Remote Update Intel FPGA IP - 24.1 - - - 1 - sc_config - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - sc_config - 1.0 - - - 1 - altera_avalon_timer - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Interval Timer Intel FPGA IP - 24.1 - - - 22 - avalon - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Avalon Memory Mapped Connection - 24.1 - - - 16 - clock - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Clock Connection - 24.1 - - - 4 - interrupt - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Interrupt Connection - 24.1 - - - 16 - reset - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Reset Connection - 24.1 - - 24.1 1077 - -