diff --git a/ip/sc_config/inc/sc_config_regs.h b/ip/sc_config/inc/sc_config_regs.h index d131fbd..c56096e 100644 --- a/ip/sc_config/inc/sc_config_regs.h +++ b/ip/sc_config/inc/sc_config_regs.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2019 Markus Hiienkari +// Copyright (C) 2015-2022 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -20,115 +20,115 @@ #ifndef SC_CONFIG_REGS_H_ #define SC_CONFIG_REGS_H_ -#include +#include // bit-fields coded as little-endian typedef union { struct { - alt_u16 vmax:11; - alt_u8 interlace_flag:1; - alt_u8 sc_rsv2:4; - alt_u8 fpga_vsyncgen:2; - alt_u16 vmax_tvp:11; - alt_u8 sc_rsv:2; - alt_u8 vsync_flag:1; + uint16_t vmax:11; + uint8_t interlace_flag:1; + uint8_t sc_rsv2:4; + uint8_t fpga_vsyncgen:2; + uint16_t vmax_tvp:11; + uint8_t sc_rsv:2; + uint8_t vsync_flag:1; } __attribute__((packed, __may_alias__)); - alt_u32 data; + uint32_t data; } sc_status_reg; typedef union { struct { - alt_u32 pcnt_frame:20; - alt_u16 sc_rsv:12; + uint32_t pcnt_frame:20; + uint16_t sc_rsv:12; } __attribute__((packed, __may_alias__)); - alt_u32 data; + uint32_t data; } sc_status2_reg; typedef union { struct { - alt_u16 lt_lat_result:16; - alt_u16 lt_stb_result:12; - alt_u8 lt_rsv:3; - alt_u8 lt_finished:1; + uint16_t lt_lat_result:16; + uint16_t lt_stb_result:12; + uint8_t lt_rsv:3; + uint8_t lt_finished:1; } __attribute__((packed, __may_alias__)); - alt_u32 data; + uint32_t data; } lt_status_reg; typedef union { struct { - alt_u16 h_active:11; - alt_u16 h_backporch:9; - alt_u8 h_synclen:8; - alt_u8 h_l3_240x360:1; - alt_u8 h_l5fmt:1; - alt_u8 h_multmode:2; + uint16_t h_total:12; + uint16_t h_active:12; + uint16_t h_synclen:8; } __attribute__((packed, __may_alias__)); - alt_u32 data; -} h_config_reg; + uint32_t data; +} hv_config_reg; typedef union { struct { - alt_u16 h_opt_startoff:10; - alt_u8 h_opt_sample_mult:3; - alt_u8 h_opt_sample_sel:3; - alt_u8 h_opt_scale:3; - alt_u16 h_mask:11; - alt_u8 h_rsv:2; + uint16_t h_backporch:9; + uint16_t v_total:11; + uint16_t v_active:11; + uint8_t interlaced:1; } __attribute__((packed, __may_alias__)); - alt_u32 data; -} h_config2_reg; + uint32_t data; +} hv_config2_reg; typedef union { struct { - alt_u16 v_active:11; - alt_u8 v_backporch:8; - alt_u8 v_synclen:3; - alt_u8 v_mask:6; - alt_u8 v_rsv:1; - alt_u8 v_multmode:3; + uint8_t v_synclen:4; + uint16_t v_backporch:9; + uint16_t v_startline:11; + uint8_t h_skip:4; + uint8_t h_sample_sel:4; } __attribute__((packed, __may_alias__)); - alt_u32 data; -} v_config_reg; + uint32_t data; +} hv_config3_reg; typedef union { struct { - alt_u8 mask_br:4; - alt_u8 mask_color:3; - alt_u8 rev_lpf_str:5; - alt_u8 panasonic_hack:1; - alt_u32 misc_rsv:19; + uint8_t mask_br:4; + uint8_t mask_color:3; + uint8_t rev_lpf_str:5; + uint8_t panasonic_hack:1; + /* temp */ + uint8_t h_l3_240x360:1; + uint16_t h_opt_startoff:10; + //uint8_t h_l5fmt:1; + uint8_t h_multmode:2; + uint8_t v_multmode:3; + uint8_t h_opt_scale:3; } __attribute__((packed, __may_alias__)); - alt_u32 data; + uint32_t data; } misc_config_reg; typedef union { struct { - alt_u32 sl_l_str_arr:20; - alt_u8 sl_l_overlay:5; - alt_u8 sl_hybr_str:5; - alt_u8 sl_method:1; - alt_u8 sl_no_altern:1; + uint32_t sl_l_str_arr:20; + uint8_t sl_l_overlay:5; + uint8_t sl_hybr_str:5; + uint8_t sl_method:1; + uint8_t sl_no_altern:1; } __attribute__((packed, __may_alias__)); - alt_u32 data; + uint32_t data; } sl_config_reg; typedef union { struct { - alt_u32 sl_c_str_arr:24; - alt_u8 sl_c_overlay:6; - alt_u8 sl_rsv:1; - alt_u8 sl_altiv:1; + uint32_t sl_c_str_arr:24; + uint8_t sl_c_overlay:6; + uint8_t sl_rsv:1; + uint8_t sl_altiv:1; } __attribute__((packed, __may_alias__)); - alt_u32 data; + uint32_t data; } sl_config2_reg; typedef struct { sc_status_reg sc_status; sc_status2_reg sc_status2; lt_status_reg lt_status; - h_config_reg h_config; - h_config2_reg h_config2; - v_config_reg v_config; + hv_config_reg hv_in_config; + hv_config2_reg hv_in_config2; + hv_config3_reg hv_in_config3; misc_config_reg misc_config; sl_config_reg sl_config; sl_config2_reg sl_config2; diff --git a/ossc.qsf b/ossc.qsf index ba63e37..dbc3190 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -1,17 +1,17 @@ # -------------------------------------------------------------------------- # # # Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # # -------------------------------------------------------------------------- # @@ -63,34 +63,34 @@ set_location_assignment PIN_23 -to ir_rx #============================================================ # TVP7002 #============================================================ -set_location_assignment PIN_52 -to R_in[0] -set_location_assignment PIN_53 -to R_in[1] -set_location_assignment PIN_54 -to R_in[2] -set_location_assignment PIN_55 -to R_in[3] -set_location_assignment PIN_58 -to R_in[4] -set_location_assignment PIN_59 -to R_in[5] -set_location_assignment PIN_60 -to R_in[6] -set_location_assignment PIN_61 -to R_in[7] -set_location_assignment PIN_88 -to B_in[7] -set_location_assignment PIN_87 -to B_in[6] -set_location_assignment PIN_86 -to B_in[5] -set_location_assignment PIN_85 -to B_in[4] -set_location_assignment PIN_83 -to B_in[3] -set_location_assignment PIN_80 -to B_in[2] -set_location_assignment PIN_77 -to B_in[1] -set_location_assignment PIN_89 -to PCLK_in -set_location_assignment PIN_76 -to B_in[0] -set_location_assignment PIN_90 -to HSYNC_in -set_location_assignment PIN_91 -to VSYNC_in -set_location_assignment PIN_98 -to FID_in -set_location_assignment PIN_72 -to G_in[7] -set_location_assignment PIN_71 -to G_in[6] -set_location_assignment PIN_69 -to G_in[5] -set_location_assignment PIN_68 -to G_in[4] -set_location_assignment PIN_67 -to G_in[3] -set_location_assignment PIN_66 -to G_in[2] -set_location_assignment PIN_65 -to G_in[1] -set_location_assignment PIN_64 -to G_in[0] +set_location_assignment PIN_52 -to TVP_R_i[0] +set_location_assignment PIN_53 -to TVP_R_i[1] +set_location_assignment PIN_54 -to TVP_R_i[2] +set_location_assignment PIN_55 -to TVP_R_i[3] +set_location_assignment PIN_58 -to TVP_R_i[4] +set_location_assignment PIN_59 -to TVP_R_i[5] +set_location_assignment PIN_60 -to TVP_R_i[6] +set_location_assignment PIN_61 -to TVP_R_i[7] +set_location_assignment PIN_88 -to TVP_B_i[7] +set_location_assignment PIN_87 -to TVP_B_i[6] +set_location_assignment PIN_86 -to TVP_B_i[5] +set_location_assignment PIN_85 -to TVP_B_i[4] +set_location_assignment PIN_83 -to TVP_B_i[3] +set_location_assignment PIN_80 -to TVP_B_i[2] +set_location_assignment PIN_77 -to TVP_B_i[1] +set_location_assignment PIN_89 -to TVP_PCLK_i +set_location_assignment PIN_76 -to TVP_B_i[0] +set_location_assignment PIN_90 -to TVP_HS_i +set_location_assignment PIN_91 -to TVP_VSYNC_i +set_location_assignment PIN_98 -to TVP_FID_i +set_location_assignment PIN_72 -to TVP_G_i[7] +set_location_assignment PIN_71 -to TVP_G_i[6] +set_location_assignment PIN_69 -to TVP_G_i[5] +set_location_assignment PIN_68 -to TVP_G_i[4] +set_location_assignment PIN_67 -to TVP_G_i[3] +set_location_assignment PIN_66 -to TVP_G_i[2] +set_location_assignment PIN_65 -to TVP_G_i[1] +set_location_assignment PIN_64 -to TVP_G_i[0] #============================================================ # HDMITX @@ -140,7 +140,8 @@ set_location_assignment PIN_30 -to SD_DAT[3] # Leds #============================================================ set_location_assignment PIN_44 -to LED_G -set_location_assignment PIN_46 -to LED_R +#set_location_assignment PIN_46 -to LED_R +set_location_assignment PIN_46 -to TVP_HSYNC_i #============================================================ # I2C @@ -187,7 +188,7 @@ set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF -set_global_assignment -name OPTIMIZATION_MODE "AGGRESSIVE PERFORMANCE" +set_global_assignment -name OPTIMIZATION_MODE BALANCED set_global_assignment -name ALLOW_REGISTER_RETIMING OFF set_global_assignment -name ENABLE_OCT_DONE OFF @@ -211,11 +212,11 @@ set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 50% set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON -set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 8.0 +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1 set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 2.0 set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED -set_global_assignment -name ENABLE_SIGNALTAP OFF -set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp +set_global_assignment -name ENABLE_SIGNALTAP ON +set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_new.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" set_global_assignment -name SEED 2 @@ -230,11 +231,11 @@ set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_GD[7] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_RD[1] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_RD[5] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_RD[7] -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name VERILOG_FILE rtl/videogen.v set_global_assignment -name VERILOG_FILE rtl/ir_rcv.v set_global_assignment -name VERILOG_FILE rtl/ossc.v set_global_assignment -name VERILOG_FILE rtl/scanconverter.v +set_global_assignment -name VERILOG_FILE rtl/tvp7002_frontend.v set_global_assignment -name VERILOG_FILE rtl/lat_tester.v set_global_assignment -name QIP_FILE sys/synthesis/sys.qip set_global_assignment -name QIP_FILE software/sys_controller/mem_init/meminit.qip @@ -247,4 +248,239 @@ set_global_assignment -name QIP_FILE rtl/lpm_mult_4_sl.qip set_global_assignment -name SDC_FILE ossc.sdc set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp -set_global_assignment -name QIP_FILE rtl/char_array.qip \ No newline at end of file +set_global_assignment -name QIP_FILE rtl/char_array.qip + + +set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_BLOCK_TYPE=AUTO" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INCREMENTAL_ROUTING=1" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[2] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_PIPELINE=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_PIPELINE=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_COUNTER_PIPELINE=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[9] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[3] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[21] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[0] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[5] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[6] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[13] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[17] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[24] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to clk27 -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[8] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "tvp7002_frontend:u_tvp_frontend|FID_o" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "tvp7002_frontend:u_tvp_frontend|HSYNC_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "tvp7002_frontend:u_tvp_frontend|HSYNC_o" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "tvp7002_frontend:u_tvp_frontend|HS_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "tvp7002_frontend:u_tvp_frontend|VSYNC_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "tvp7002_frontend:u_tvp_frontend|VSYNC_o" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "tvp7002_frontend:u_tvp_frontend|VS_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "tvp7002_frontend:u_tvp_frontend|datavalid_o" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "tvp7002_frontend:u_tvp_frontend|frame_change" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "tvp7002_frontend:u_tvp_frontend|h_cnt[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "tvp7002_frontend:u_tvp_frontend|hsync_i_pol" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "tvp7002_frontend:u_tvp_frontend|interlace_flag" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[31] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "tvp7002_frontend:u_tvp_frontend|meas_even_max_thold[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "tvp7002_frontend:u_tvp_frontend|meas_even_min_thold[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "tvp7002_frontend:u_tvp_frontend|meas_fid" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "tvp7002_frontend:u_tvp_frontend|meas_hl_det" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[16]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[17]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[18]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[19]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to "tvp7002_frontend:u_tvp_frontend|pcnt_frame[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line_stored" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to "tvp7002_frontend:u_tvp_frontend|sof_scaler" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "tvp7002_frontend:u_tvp_frontend|v_cnt[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to "tvp7002_frontend:u_tvp_frontend|vsync_i_pol" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to "tvp7002_frontend:u_tvp_frontend|vtotal[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to "tvp7002_frontend:u_tvp_frontend|vtotal[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to "tvp7002_frontend:u_tvp_frontend|vtotal[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to "tvp7002_frontend:u_tvp_frontend|vtotal[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to "tvp7002_frontend:u_tvp_frontend|vtotal[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to "tvp7002_frontend:u_tvp_frontend|vtotal[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to "tvp7002_frontend:u_tvp_frontend|vtotal[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to "tvp7002_frontend:u_tvp_frontend|vtotal[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to "tvp7002_frontend:u_tvp_frontend|vtotal[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to "tvp7002_frontend:u_tvp_frontend|vtotal[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to "tvp7002_frontend:u_tvp_frontend|vtotal[9]" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=129" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_BITS=129" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[18] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "tvp7002_frontend:u_tvp_frontend|HSYNC_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "tvp7002_frontend:u_tvp_frontend|VSYNC_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "tvp7002_frontend:u_tvp_frontend|hsync_i_pol" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "tvp7002_frontend:u_tvp_frontend|interlace_flag" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "tvp7002_frontend:u_tvp_frontend|meas_fid" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "tvp7002_frontend:u_tvp_frontend|meas_h_cnt[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "tvp7002_frontend:u_tvp_frontend|meas_hl_det" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "tvp7002_frontend:u_tvp_frontend|meas_v_cnt[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "tvp7002_frontend:u_tvp_frontend|pcnt_line_stored" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "tvp7002_frontend:u_tvp_frontend|vsync_i_pol" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "tvp7002_frontend:u_tvp_frontend|vtotal[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "tvp7002_frontend:u_tvp_frontend|vtotal[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "tvp7002_frontend:u_tvp_frontend|vtotal[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "tvp7002_frontend:u_tvp_frontend|vtotal[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "tvp7002_frontend:u_tvp_frontend|vtotal[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "tvp7002_frontend:u_tvp_frontend|vtotal[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "tvp7002_frontend:u_tvp_frontend|vtotal[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "tvp7002_frontend:u_tvp_frontend|vtotal[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "tvp7002_frontend:u_tvp_frontend|vtotal[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "tvp7002_frontend:u_tvp_frontend|vtotal[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "tvp7002_frontend:u_tvp_frontend|vtotal[9]" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=42" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=411" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=1024" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[1] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[4] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[7] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[10] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[11] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[12] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[14] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[15] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[16] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[19] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[20] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[22] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[23] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[25] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[26] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[27] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[28] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[29] -to auto_signaltap_0|vcc -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[30] -to auto_signaltap_0|gnd -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=1024" -section_id auto_signaltap_0 +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name SLD_FILE db/ossc_new_auto_stripped.stp \ No newline at end of file diff --git a/ossc.sdc b/ossc.sdc index a02b729..8cf81a8 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -9,11 +9,11 @@ set_false_path -to {sys:sys_inst|sys_pio_1:pio_1|readdata*} ### Scanconverter clock constraints ### -create_clock -period 108MHz -name pclk_1x [get_ports PCLK_in] -create_clock -period 54MHz -name pclk_2x_source [get_ports PCLK_in] -add -create_clock -period 54MHz -name pclk_3x_source [get_ports PCLK_in] -add -create_clock -period 33MHz -name pclk_4x_source [get_ports PCLK_in] -add -create_clock -period 33MHz -name pclk_5x_source [get_ports PCLK_in] -add +create_clock -period 108MHz -name pclk_1x [get_ports TVP_PCLK_i] +create_clock -period 54MHz -name pclk_2x_source [get_ports TVP_PCLK_i] -add +create_clock -period 54MHz -name pclk_3x_source [get_ports TVP_PCLK_i] -add +create_clock -period 33MHz -name pclk_4x_source [get_ports TVP_PCLK_i] -add +create_clock -period 33MHz -name pclk_5x_source [get_ports TVP_PCLK_i] -add #derive_pll_clocks create_generated_clock -name pclk_2x -master_clock pclk_2x_source -source {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|inclk[1]} -multiply_by 2 -duty_cycle 50.00 {scanconverter_inst|pll_pclk|altpll_component|auto_generated|pll1|clk[0]} -add @@ -47,7 +47,7 @@ derive_clock_uncertainty # input delay constraints set TVP_dmin 0 set TVP_dmax 1.5 -set critinputs [get_ports {R_in* G_in* B_in* HSYNC_in VSYNC_in FID_in}] +set critinputs [get_ports {TVP_R_i* TVP_G_i* TVP_B_i* TVP_HS_i TVP_HSYNC_i TVP_VSYNC_i TVP_FID_i}] foreach_in_collection c [get_clocks "pclk_1x pclk_*_source"] { set_input_delay -clock $c -min $TVP_dmin $critinputs -add_delay set_input_delay -clock $c -max $TVP_dmax $critinputs -add_delay @@ -67,7 +67,7 @@ set_false_path -to [remove_from_collection [all_outputs] $critoutputs_hdmi] ### CPU/scanconverter clock relations ### -# Treat CPU clock asynchronous to pixel clocks +# Treat CPU clock asynchronous to pixel clocks set_clock_groups -asynchronous -group \ {clk27 pclk_27mhz pclk_27mhz_postmux pclk_27mhz_out} \ {pclk_1x pclk_1x_postmux pclk_1x_out} \ diff --git a/ossc.workspace b/ossc.workspace index 67f58fd..8243c3f 100644 --- a/ossc.workspace +++ b/ossc.workspace @@ -5,14 +5,14 @@ - + - + diff --git a/rtl/ossc.v b/rtl/ossc.v index 5d3a948..29e1532 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -22,17 +22,22 @@ module ossc ( input clk27, - input ir_rx, + inout scl, inout sda, + + input ir_rx, input [1:0] btn, - input [7:0] R_in, - input [7:0] G_in, - input [7:0] B_in, - input FID_in, - input VSYNC_in, - input HSYNC_in, - input PCLK_in, + + input TVP_PCLK_i, + input [7:0] TVP_R_i, + input [7:0] TVP_G_i, + input [7:0] TVP_B_i, + input TVP_HS_i, + input TVP_HSYNC_i, + input TVP_VSYNC_i, + input TVP_FID_i, + output HDMI_TX_PCLK, output reg [7:0] HDMI_TX_RD, output reg [7:0] HDMI_TX_GD, @@ -42,21 +47,29 @@ module ossc ( output reg HDMI_TX_VS, input HDMI_TX_INT_N, input HDMI_TX_MODE, + output hw_reset_n, + output LED_G, - output LED_R, + //output LED_R, + output LCD_RS, output LCD_CS_N, output LCD_BL, + output SD_CLK, inout SD_CMD, inout [3:0] SD_DAT ); -wire [15:0] sys_ctrl; +wire [31:0] sys_ctrl; +wire tvp_hsync_pol = sys_ctrl[16]; +wire tvp_vsync_pol = sys_ctrl[17]; +wire tvp_vsync_type = sys_ctrl[18]; + wire h_unstable, pll_lock_lost; -wire [31:0] h_config, h_config2, v_config, misc_config, sl_config, sl_config2; +wire [31:0] hv_in_config, hv_in_config2, hv_in_config3, misc_config, sl_config, sl_config2; wire [10:0] vmax, vmax_tvp; wire [1:0] fpga_vsyncgen; wire ilace_flag, vsync_flag; @@ -82,8 +95,11 @@ reg po_reset_n = 1'b0; wire jtagm_reset_req; wire sys_reset_n = (po_reset_n & ~jtagm_reset_req); -reg [7:0] R_in_L, G_in_L, B_in_L; -reg HSYNC_in_L, VSYNC_in_L, FID_in_L; +reg [7:0] TVP_R, TVP_G, TVP_B; +reg TVP_HS, TVP_VS, TVP_FID; +reg TVP_VS_sync1_reg, TVP_VS_sync2_reg; +reg TVP_HSYNC_sync1_reg, TVP_HSYNC_sync2_reg; +reg TVP_VSYNC_sync1_reg, TVP_VSYNC_sync2_reg; reg [1:0] btn_L, btn_LL; reg ir_rx_L, ir_rx_LL, HDMI_TX_INT_N_L, HDMI_TX_INT_N_LL, HDMI_TX_MODE_L, HDMI_TX_MODE_LL; @@ -113,25 +129,67 @@ wire [10:0] ypos, ypos_sc, ypos_vg; wire pll_areset, pll_scanclk, pll_scanclkena, pll_configupdate, pll_scandata, pll_scandone, pll_activeclock; -// Latch inputs from TVP7002 (synchronized to PCLK_in) -always @(posedge PCLK_in or negedge hw_reset_n) -begin - if (!hw_reset_n) begin - R_in_L <= 8'h00; - G_in_L <= 8'h00; - B_in_L <= 8'h00; - HSYNC_in_L <= 1'b0; - VSYNC_in_L <= 1'b0; - FID_in_L <= 1'b0; - end else begin - R_in_L <= R_in; - G_in_L <= G_in; - B_in_L <= B_in; - HSYNC_in_L <= HSYNC_in; - VSYNC_in_L <= VSYNC_in; - FID_in_L <= FID_in; - end +// TVP7002 RGB digitizer +always @(posedge TVP_PCLK_i) begin + TVP_R <= TVP_R_i; + TVP_G <= TVP_G_i; + TVP_B <= TVP_B_i; + TVP_HS <= TVP_HS_i; + TVP_VS <= TVP_VSYNC_i; + TVP_FID <= TVP_FID_i; + + // sync to pclk + TVP_VS_sync1_reg <= TVP_VSYNC_i; + TVP_VS_sync2_reg <= TVP_VS_sync1_reg; end +always @(posedge clk27) begin + // sync to always-running fixed meas clk + TVP_HSYNC_sync1_reg <= TVP_HSYNC_i; + TVP_HSYNC_sync2_reg <= TVP_HSYNC_sync1_reg; + TVP_VSYNC_sync1_reg <= TVP_VSYNC_i; + TVP_VSYNC_sync2_reg <= TVP_VSYNC_sync1_reg; +end + +wire [7:0] TVP_R_post, TVP_G_post, TVP_B_post; +wire TVP_HSYNC_post, TVP_VSYNC_post, TVP_DE_post, TVP_FID_post, TVP_datavalid_post; +wire TVP_fe_interlace, TVP_fe_frame_change, TVP_sof_scaler; +wire [19:0] TVP_fe_pcnt_frame; +wire [10:0] TVP_fe_vtotal, TVP_fe_xpos, TVP_fe_ypos; +tvp7002_frontend u_tvp_frontend ( + .PCLK_i(TVP_PCLK_i), + .CLK_MEAS_i(clk27), + .reset_n(sys_reset_n), + .R_i(TVP_R), + .G_i(TVP_G), + .B_i(TVP_B), + .HS_i(TVP_HS), + .VS_i(TVP_VS_sync2_reg), + .HSYNC_i(TVP_HSYNC_sync2_reg), + .VSYNC_i(TVP_VSYNC_sync2_reg), + .DE_i(1'b0), + .FID_i(1'b0), + .hsync_i_polarity(tvp_hsync_pol), + .vsync_i_polarity(tvp_vsync_pol), + .vsync_i_type(tvp_vsync_type), + .hv_in_config(hv_in_config), + .hv_in_config2(hv_in_config2), + .hv_in_config3(hv_in_config3), + .R_o(TVP_R_post), + .G_o(TVP_G_post), + .B_o(TVP_B_post), + .HSYNC_o(TVP_HSYNC_post), + .VSYNC_o(TVP_VSYNC_post), + .DE_o(TVP_DE_post), + .FID_o(TVP_FID_post), + .interlace_flag(TVP_fe_interlace), + .datavalid_o(TVP_datavalid_post), + .xpos_o(TVP_fe_xpos), + .ypos_o(TVP_fe_ypos), + .vtotal(TVP_fe_vtotal), + .frame_change(TVP_fe_frame_change), + .sof_scaler(TVP_sof_scaler), + .pcnt_frame(TVP_fe_pcnt_frame) +); // Insert synchronizers to async inputs (synchronize to CPU clock) always @(posedge clk27 or negedge po_reset_n) @@ -173,8 +231,8 @@ assign hw_reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB assign LED_R = HSYNC_in_L; assign LED_G = VSYNC_in_L; `else -assign LED_R = lt_active ? lt_trig_waiting : (pll_lock_lost|h_unstable); -assign LED_G = lt_active ? ~lt_sensor : (ir_code == 0); +//assign LED_R = lt_active ? lt_trig_waiting : (pll_lock_lost|h_unstable); +assign LED_G = lt_active ? ~lt_sensor : (ir_code == 0) & ~(pll_lock_lost|h_unstable); `endif assign SD_DAT[3] = sys_ctrl[7]; //SD_SPI_SS_N @@ -254,12 +312,12 @@ sys sys_inst( .i2c_opencores_1_export_spi_miso_pad_i (SD_DAT[0]), .pio_0_sys_ctrl_out_export (sys_ctrl), .pio_1_controls_in_export ({ir_code_cnt, 4'b0000, pll_activeclock, HDMI_TX_MODE_LL, btn_LL, ir_code}), - .sc_config_0_sc_if_sc_status_i ({vsync_flag, 2'b00, vmax_tvp, fpga_vsyncgen, 4'h0, ilace_flag, vmax}), - .sc_config_0_sc_if_sc_status2_i ({12'h000, pcnt_frame}), + .sc_config_0_sc_if_sc_status_i ({vsync_flag, 2'b00, vmax_tvp, fpga_vsyncgen, 4'h0, TVP_fe_interlace, TVP_fe_vtotal}), + .sc_config_0_sc_if_sc_status2_i ({12'h000, TVP_fe_pcnt_frame}), .sc_config_0_sc_if_lt_status_i ({lt_finished, 3'h0, lt_stb_result, lt_lat_result}), - .sc_config_0_sc_if_h_config_o (h_config), - .sc_config_0_sc_if_h_config2_o (h_config2), - .sc_config_0_sc_if_v_config_o (v_config), + .sc_config_0_sc_if_h_config_o (hv_in_config), + .sc_config_0_sc_if_h_config2_o (hv_in_config2), + .sc_config_0_sc_if_v_config_o (hv_in_config3), .sc_config_0_sc_if_misc_config_o (misc_config), .sc_config_0_sc_if_sl_config_o (sl_config), .sc_config_0_sc_if_sl_config2_o (sl_config2), @@ -278,18 +336,18 @@ sys sys_inst( scanconverter scanconverter_inst ( .reset_n (hw_reset_n), - .PCLK_in (PCLK_in), + .PCLK_in (TVP_PCLK_i), .clk27 (clk27), .enable_sc (enable_sc), - .HSYNC_in (HSYNC_in_L), - .VSYNC_in (VSYNC_in_L), - .FID_in (FID_in_L), - .R_in (R_in_L), - .G_in (G_in_L), - .B_in (B_in_L), - .h_config (h_config), - .h_config2 (h_config2), - .v_config (v_config), + .HSYNC_in (TVP_HSYNC_post), + .VSYNC_in (TVP_VSYNC_post), + .FID_in (~TVP_FID_post), + .R_in (TVP_R_post), + .G_in (TVP_G_post), + .B_in (TVP_B_post), + .hv_in_config (hv_in_config), + .hv_in_config2 (hv_in_config2), + .hv_in_config3 (hv_in_config3), .misc_config (misc_config), .sl_config (sl_config), .sl_config2 (sl_config2), diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 8968bfd..ce840c5 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -88,9 +88,9 @@ module scanconverter ( input PCLK_in, input clk27, input enable_sc, - input [31:0] h_config, - input [31:0] h_config2, - input [31:0] v_config, + input [31:0] hv_in_config, + input [31:0] hv_in_config2, + input [31:0] hv_in_config3, input [31:0] misc_config, input [31:0] sl_config, input [31:0] sl_config2, @@ -821,8 +821,8 @@ end assign vsync_flag = ~VSYNC_in_cc_LL; -wire [11:0] H_L5BORDER_1920_tmp = (11'd1920-h_config[10:0]); -wire [11:0] H_L5BORDER_1600_tmp = (11'd1600-h_config[10:0]); +wire [11:0] H_L5BORDER_1920_tmp = (11'd1920-hv_in_config[23:12]); +wire [11:0] H_L5BORDER_1600_tmp = (11'd1600-hv_in_config[23:12]); //Buffer the inputs using input pixel clock and generate 1x signals always @(posedge pclk_1x or negedge reset_n) @@ -899,31 +899,31 @@ begin if (frame_change) begin //Read configuration data from CPU - H_MULTMODE <= h_config[31:30]; // Horizontal scaling mode - V_MULTMODE <= v_config[31:29]; // Line multiply mode + H_MULTMODE <= misc_config[25:24]; // Horizontal scaling mode + V_MULTMODE <= misc_config[28:26]; // Line multiply mode - H_SYNCLEN <= h_config[27:20]; // Horizontal sync length (0...255) - H_AVIDSTART <= h_config[19:11] + h_config[27:20]; // Horizontal sync+backporch length (0...1023) - H_ACTIVE <= h_config[10:0]; // Horizontal active length (0...2047) + H_SYNCLEN <= hv_in_config[31:24]; // Horizontal sync length (0...255) + H_AVIDSTART <= hv_in_config[31:24]+hv_in_config2[8:0]; // Horizontal sync+backporch length (0...1023) + H_ACTIVE <= hv_in_config[23:12]; // Horizontal active length (0...2047) - V_SYNCLEN <= v_config[21:19]; // Vertical sync length (0...7) - V_AVIDSTART <= v_config[18:11] + v_config[21:19]; // Vertical sync+backporch length (0...255) - V_ACTIVE <= v_config[10:0]; // Vertical active length (0...2047) + V_SYNCLEN <= hv_in_config3[3:0]; // Vertical sync length (0...7) + V_AVIDSTART <= hv_in_config3[3:0]+hv_in_config3[12:4]; // Vertical sync+backporch length (0...255) + V_ACTIVE <= hv_in_config2[30:20]; // Vertical active length (0...2047) - H_MASK <= h_config2[29:19]; - V_MASK <= v_config[27:22]; + H_MASK <= 0; + V_MASK <= 0; // H_L5BORDER <= h_config[29] ? (11'd1920-h_config[10:0])/2 : (11'd1600-h_config[10:0])/2; - H_L5BORDER <= h_config[29] ? H_L5BORDER_1920_tmp[10:1] : H_L5BORDER_1600_tmp[10:1]; + H_L5BORDER <= H_L5BORDER_1920_tmp[10:1]; // For Line3x 240x360 - H_L3BORDER <= h_config[28] ? H_L5BORDER_1920_tmp[10:1] : 10'd0; + H_L3BORDER <= 0; - H_L3_OPT_START <= h_config2[15:13] + (h_config[28] ? 7'd90 : 7'd0); + H_L3_OPT_START <= 0; - H_OPT_SCALE <= h_config2[18:16]; - H_OPT_SAMPLE_SEL <= h_config2[15:13]; - H_OPT_SAMPLE_MULT <= h_config2[12:10]; - H_OPT_STARTOFF <= h_config2[9:0]; + H_OPT_SCALE <= misc_config[31:29]; + H_OPT_SAMPLE_SEL <= hv_in_config3[31:28]; + H_OPT_SAMPLE_MULT <= hv_in_config3[27:24]; + H_OPT_STARTOFF <= misc_config[23:14]; X_PANASONIC_HACK <= misc_config[12]; X_REV_LPF_ENABLE <= (misc_config[11:7] != 5'b00000); diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 5c47073..b52f618 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -44,8542 +44,8792 @@ :04002B008786870637 :04002C000000A11718 :04002D00F5010113C5 -:04002E000000871730 -:04002F0056870713D6 +:04002E000000971720 +:04002F009507071317 :04003000000097979E -:04003100BAC7879330 +:04003100FA87879330 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 :0400350000EFFEE7F3 :040036001151004024 :04003700C4064501B5 -:04003800283528350A +:04003800200520057A :04003900A60367E5CE -:04003A0067E5BFC7F0 -:04003B00C007A583D2 +:04003A0067E5FF4730 +:04003B00FF87A58313 :04003C0040A267E592 -:04003D00C047A50310 -:04003E00306F0131ED -:04003F0011414560C6 -:04004000C02AC60606 -:0400410014A050EFC8 -:0400420040B2458201 -:040043006561862A43 -:0400440005134681D9 -:0400450001415C0514 -:04004600A941A01D0F -:0400470067E5808267 -:040048000002073774 -:04004900C0E7A62343 -:04004A0007B765612E -:04004B006765019C48 -:04004C00CC078793C3 -:04004D00AE050513E4 -:04004E00C0F72423B0 -:04004F004118ACE9BF -:0400500000C586B3AE -:04005100E4637541AE -:04005200853200D51E -:04005300435C808208 -:04005400DBF58FE960 -:040055000005C78358 -:04005600C31C05853D -:040057006765B7ED35 -:04005800C087270333 -:04005900A78367E52D -:04005A00557DC0C749 -:04005B004721CB115D -:04005C006741C3D85D -:04005D00C798177DAC -:04005E004711C7D8A7 -:04005F004501C3D8BC -:0400600067E580824E -:04006100C087A7832A -:04006200CF91557D68 -:04006300A78367E523 -:04006400A823C0C746 -:040065004B880007BD -:0400660005424BDC28 -:0400670007C281410A -:0400680045138D5D52 -:040069008082FFF59D -:04006A00A50367E59E -:04006B008082C08748 -:04006C002783C90D10 -:04006D0011510C051C -:04006E00C22205A203 -:04006F00E593C4064B -:04007000842A0035A9 -:040071002703C7CCCE -:04007200431C0C041B -:04007300E7998B85F9 -:04007400441240A250 -:040075004501431CE2 -:040076008082013152 -:0400770026F1450524 -:040078005529B7DD72 -:0400790087AA808250 -:04007A00CF815529B4 -:04007B00CA11C99944 -:04007C00556D5B98CB -:04007D00C711C218CD -:04007E00034787931A -:04007F004501C19CDA -:040080008082808278 -:04008100552987AACC -:04008200C863CBA9DB -:04008300A7030405C6 -:04008400F4630C47CE -:04008500A68304E565 -:0400860087130D07C8 -:040087008F6DFFF684 -:04008800D5B3EF0DF0 -:04008900073702D55E -:04008A000713010057 -:04008B004501F00734 -:04008C008DF905A243 -:04008D000C07A703B2 -:04008E000045E693B0 -:04008F000025E593D0 -:04009000A703C754A7 -:04009100C74C0C0745 -:040092000C07A703AD -:040093008B854B1CF2 -:040094004785C7894C -:04009500556DCB1CBE -:040096008082808262 -:040097000C05C3632E -:04009800CC22110164 -:04009900CA26CE069F -:04009A008432832AFF -:04009B004D63552933 -:04009C000B630806E4 -:04009D00CAC90803C1 -:04009E000C43278365 -:04009F0008F6766386 -:0400A00008F5F46308 -:0400A1000D032783A1 -:0400A200073384BAE2 -:0400A300873340B6A9 -:0400A4006B6340E763 -:0400A500C96306978E -:0400A60017FD060438 -:0400A700E7AD8FED45 -:0400A8004501E09995 -:0400A900577DA0954A -:0400AA007513C83AC8 -:0400AB00C135003427 -:0400AC008F09471160 -:0400AD0073638626CD -:0400AE00863A0097F7 -:0400AF0085B38C0980 -:0400B000C23E00F656 -:0400B100953E081C54 -:0400B200C432C61A74 -:0400B3002E15C03610 -:0400B400462243326B -:0400B5002703479244 -:0400B6008C910B839B -:0400B700464297B274 -:0400B80046829722C3 -:0400B9002703C31046 -:0400BA0097220B83FB -:0400BB000363430C8C -:0400BC00C31000B6B7 -:0400BD000C03270306 -:0400BE00010706131D -:0400BF008B094B1846 -:0400C0004789CF1984 -:0400C100556DC21C9B -:0400C200446240F262 -:0400C300610544D2BD -:0400C400470D8082E2 -:0400C50064E3461199 -:0400C6008626FA97F9 -:0400C7000411B74D1C -:0400C8005529B741BE -:0400C900011380821D -:0400CA00D006FDC19E -:0400CB00CC26CE224F -:0400CC00CD59C03218 -:0400CD005529842A03 -:0400CE002703CE49ED -:0400CF0087B60B8461 -:0400D000972E84AE35 -:0400D10000E686338C -:0400D2000BC42683B2 -:0400D30008D7736374 -:0400D40008C6E16316 -:0400D5000D042303F0 -:0400D600D33342815D -:0400D7002703026594 -:0400D80064630CC48D -:0400D900450100E3FA -:0400DA00DFF5A0AD01 -:0400DB000D04258368 -:0400DC0005B3470120 -:0400DD008DE540B0BD -:0400DE0000B4846383 -:0400DF0040B487336F -:0400E000CA3A852271 -:0400E100C616C81A5D -:0400E200C22EC43E28 -:0400E300E1313DA525 -:0400E4000D0426835E -:0400E50047A2475295 -:0400E6008733459285 -:0400E70042B240E6FB -:0400E800F363434239 -:0400E900873E00E767 -:0400EA004782C63E45 -:0400EB0085228626BE -:0400EC00005786B380 -:0400ED00C43AC81A2F -:0400EE00354DC216B4 -:0400EF004722E919A2 -:0400F000429247B23F -:0400F1008F9943425E -:0400F20094BA92BA70 -:0400F300BF41030501 -:0400F40050825529B8 -:0400F50044E244722B -:0400F60002410113AF -:0400F700872A808252 -:0400F8008532C9057F -:0400F900C61557A928 -:0400FA000B872783C6 -:0400FB000BC7270305 -:0400FC0095BE8636F1 -:0400FD0057A996AEBB -:0400FE0000E5FD63B9 -:0400FF0000D76B6358 -:04010000C4061151CF -:0401010040A22AFDF1 -:04010200853E47816E -:0401030080820131C4 -:04010400853E57A934 -:04010500CD4D8082DA -:040106000C052703BA -:04010700CB5557B5C8 -:040108000C852783B8 -:040109004718EBA9FF -:04010A00771346B170 -:04010B0007930FF750 -:04010C00E963FEB7EE -:04010D0066D902F6B7 -:04010E008693078A43 -:04010F0097B6D2C607 -:040110008782439C03 -:040111002000079330 -:040112000D0526832E -:040113000C4526036E -:0401140002F685B3B7 -:0401150000B61663B7 -:040116000CC525836C -:0401170004F5866302 -:040118000205282391 -:04011900A0B557B581 -:04011A004000079307 -:04011B006785BFF144 -:04011C00800787933E -:04011D004358BFD1B3 -:04011E00771347D933 -:04011F000F630FF764 -:0401200047E100F7BC -:0401210000F70F6371 -:0401220057B546D1B6 -:0401230004D7136387 -:04012400020007933B -:040125000793BF5528 -:04012600B77D04009D -:040127000800079332 -:040128000793B7651D -:04012900B74D1000BE -:04012A00C4061151A5 -:04012B000CE52C2390 -:04012C00470565E13D -:04012D00D918DD5CA4 -:04012E0002052A2379 -:04012F00C134DD10EA -:040130005B858593D3 -:0401310040A22201C5 -:04013200853E47813E -:040133008082013194 -:04013400853E57A904 -:0401350047958082E8 -:0401360002F6063394 -:040137000005242378 -:04013800C91C478512 -:0401390002C5D5B373 -:04013A00F79315FD25 -:04013B0081A10FF59A -:04013C00F593C11C5A -:04013D00C14C0FF5AD -:04013E00080007931B -:04013F008082C51CD9 -:040140008A050586A1 -:04014100C54C95B262 -:040142000900079316 -:040143000105071398 -:04014400431CC91C73 -:04014500FFF58B89AE -:04014600811D4908C6 -:040147008082890524 -:040148000105071393 -:040149000680079392 -:04014A000793E1999D -:04014B00C91C0200C9 -:04014C008B89431C3C -:04014D004548FFF52D -:04014E00C54C80829A -:04014F00010507138C -:04015000050007930C -:0401510047C1E211AF -:04015200431CC91C65 -:04015300FFF58B89A0 -:04015400811D4908B8 -:040155008082890516 -:040156000693478144 -:04015700C36302C0BC -:04015800808200C7DA -:040159000105031386 -:04015A002703C9149A -:04015B008B09000309 -:04015C00C599FF6DD5 -:04015D0000C52303B3 -:04015E0000F58733EE -:04015F000067002312 -:04016000BFF107855F -:0401610046F147819B -:0401620000C7C363AC -:0401630087338082DC -:04016400470300F558 -:040165000313000779 -:04016600C558010572 -:040167002703C9148D -:040168008B090003FC -:040169000785FF6D9A -:04016A0047EDB7C5E1 -:04016B0002F5053361 -:04016C005533479D23 -:04016D00E46302F550 -:04016E00450100A7A0 -:04016F000001808289 -:04017000BFD507856B -:04017100451CC1194F -:0401720067E1EF91C1 -:040173005C47A783BB -:040174001151C395CD -:040175009782C406A3 -:0401760047D940A283 -:040177005529C11C29 -:04017800808201314F -:04017900C14C419C98 -:04017A00419CC11CC7 -:04017B00C188C3C8AC -:04017C008082450137 -:04017D00051365659C -:04017E0047D9C5C5D3 -:04017F005529C11C21 +:04003D00FFC7A50351 +:04003E00406F0131DD +:04003F00A9850AE0A5 +:0400400067E580826E +:04004100000207377B +:0400420000E7A2230E +:0400430007B7656135 +:040044006765019C4F +:04004500CC078793CA +:04004600E9050513B0 +:0400470000F720237B +:040048006765AC6DCF +:040049000007270382 +:04004A00A78367E53C +:04004B00557D004798 +:04004C004721CB116C +:04004D006741C3D86C +:04004E00C798177DBB +:04004F004711C7D8B6 +:040050004501C3D8CB +:0400510067E580825D +:040052000007A78379 +:04005300CF91557D77 +:04005400A78367E532 +:04005500A823004795 +:040056004B880007CC +:0400570005424BDC37 +:0400580007C2814119 +:0400590045138D5D61 +:04005A008082FFF5AC +:04005B00A50367E5AD +:04005C008082000797 +:04005D002783C90D1F +:04005E0011510C052B +:04005F00C22205A212 +:04006000E593C4065A +:04006100842A0035B8 +:040062002703C7CCDD +:04006300431C0C042A +:04006400E7998B8508 +:04006500441240A25F +:040066004501431CF1 +:040067008082013161 +:0400680026F1450533 +:040069005529B7DD81 +:04006A0087AA80825F +:04006B00CF815529C3 +:04006C00CA11C99953 +:04006D00556D5B98DA +:04006E00C711C218DC +:04006F000347879329 +:040070004501C19CE9 +:040071008082808287 +:04007200552987AADB +:04007300C863CBA9EA +:04007400A7030405D5 +:04007500F4630C47DD +:04007600A68304E574 +:0400770087130D07D7 +:040078008F6DFFF693 +:04007900D5B3EF0DFF +:04007A00073702D56D +:04007B000713010066 +:04007C004501F00743 +:04007D008DF905A252 +:04007E000C07A703C1 +:04007F000045E693BF +:040080000025E593DF +:04008100A703C754B6 +:04008200C74C0C0754 +:040083000C07A703BC +:040084008B854B1C01 +:040085004785C7895B +:04008600556DCB1CCD +:040087008082808271 +:040088000C05C3633D +:04008900CC22110173 +:04008A00CA26CE06AE +:04008B008432832A0E +:04008C004D63552942 +:04008D000B630806F3 +:04008E00CAC90803D0 +:04008F000C43278374 +:0400900008F6766395 +:0400910008F5F46317 +:040092000D032783B0 +:04009300073384BAF1 +:04009400873340B6B8 +:040095006B6340E772 +:04009600C96306979D +:0400970017FD060447 +:04009800E7AD8FED54 +:040099004501E099A4 +:04009A00577DA09559 +:04009B007513C83AD7 +:04009C00C135003436 +:04009D008F0947116F +:04009E0073638626DC +:04009F00863A009706 +:0400A00085B38C098F +:0400A100C23E00F665 +:0400A200953E081C63 +:0400A300C432C61A83 +:0400A4002E15C0361F +:0400A500462243327A +:0400A6002703479253 +:0400A7008C910B83AA +:0400A800464297B283 +:0400A90046829722D2 +:0400AA002703C31055 +:0400AB0097220B830A +:0400AC000363430C9B +:0400AD00C31000B6C6 +:0400AE000C03270315 +:0400AF00010706132C +:0400B0008B094B1855 +:0400B1004789CF1993 +:0400B200556DC21CAA +:0400B300446240F271 +:0400B400610544D2CC +:0400B500470D8082F1 +:0400B60064E34611A8 +:0400B7008626FA9708 +:0400B8000411B74D2B +:0400B9005529B741CD +:0400BA00011380822C +:0400BB00D006FDC1AD +:0400BC00CC26CE225E +:0400BD00CD59C03227 +:0400BE005529842A12 +:0400BF002703CE49FC +:0400C00087B60B8470 +:0400C100972E84AE44 +:0400C20000E686339B +:0400C3000BC42683C1 +:0400C40008D7736383 +:0400C50008C6E16325 +:0400C6000D042303FF +:0400C700D33342816C +:0400C80027030265A3 +:0400C90064630CC49C +:0400CA00450100E309 +:0400CB00DFF5A0AD10 +:0400CC000D04258377 +:0400CD0005B347012F +:0400CE008DE540B0CC +:0400CF0000B4846392 +:0400D00040B487337E +:0400D100CA3A852280 +:0400D200C616C81A6C +:0400D300C22EC43E37 +:0400D400E1313DA534 +:0400D5000D0426836D +:0400D60047A24752A4 +:0400D7008733459294 +:0400D80042B240E60A +:0400D900F363434248 +:0400DA00873E00E776 +:0400DB004782C63E54 +:0400DC0085228626CD +:0400DD00005786B38F +:0400DE00C43AC81A3E +:0400DF00354DC216C3 +:0400E0004722E919B1 +:0400E100429247B24E +:0400E2008F9943426D +:0400E30094BA92BA7F +:0400E400BF41030510 +:0400E50050825529C7 +:0400E60044E244723A +:0400E70002410113BE +:0400E800872A808261 +:0400E9008532C9058E +:0400EA00C61557A937 +:0400EB000B872783D5 +:0400EC000BC7270314 +:0400ED0095BE863600 +:0400EE0057A996AECA +:0400EF0000E5FD63C8 +:0400F00000D76B6367 +:0400F100C4061151DF +:0400F20040A22AFD01 +:0400F300853E47817E +:0400F40080820131D4 +:0400F500853E57A944 +:0400F600CD4D8082EA +:0400F7000C052703CA +:0400F800CB5557B5D8 +:0400F9000C852783C8 +:0400FA004718EBA90F +:0400FB00771346B180 +:0400FC0007930FF760 +:0400FD00E963FEB7FE +:0400FE0066D902F6C7 +:0400FF008693078A53 +:0401000097B66E86BA +:040101008782439C12 +:04010200200007933F +:040103000D0526833D +:040104000C4526037D +:0401050002F685B3C6 +:0401060000B61663C6 +:040107000CC525837B +:0401080004F5866311 +:0401090002052823A0 +:04010A00A0B557B590 +:04010B004000079316 +:04010C006785BFF153 +:04010D00800787934D +:04010E004358BFD1C2 +:04010F00771347D942 +:040110000F630FF773 +:0401110047E100F7CB +:0401120000F70F6380 +:0401130057B546D1C5 +:0401140004D7136396 +:04011500020007934A +:040116000793BF5537 +:04011700B77D0400AC +:040118000800079341 +:040119000793B7652C +:04011A00B74D1000CD +:04011B00C4061151B4 +:04011C000CE52C239F +:04011D00470565E548 +:04011E00D918DD5CB3 +:04011F0002052A2388 +:04012000C134DD10F9 +:040121009A458593E3 +:0401220040A22201D4 +:04012300853E47814D +:0401240080820131A3 +:04012500853E57A913 +:0401260047958082F7 +:0401270002F60633A3 +:040128000005242387 +:04012900C91C478521 +:04012A0002C5D5B382 +:04012B00F79315FD34 +:04012C0081A10FF5A9 +:04012D00F593C11C69 +:04012E00C14C0FF5BC +:04012F00080007932A +:040130008082C51CE8 +:040131008A050586B0 +:04013200C54C95B271 +:040133000900079325 +:0401340001050713A7 +:04013500431CC91C82 +:04013600FFF58B89BD +:04013700811D4908D5 +:040138008082890533 +:0401390001050713A2 +:04013A0006800793A1 +:04013B000793E199AC +:04013C00C91C0200D8 +:04013D008B89431C4B +:04013E004548FFF53C +:04013F00C54C8082A9 +:04014000010507139B +:04014100050007931B +:0401420047C1E211BE +:04014300431CC91C74 +:04014400FFF58B89AF +:04014500811D4908C7 +:040146008082890525 +:040147000693478153 +:04014800C36302C0CB +:04014900808200C7E9 +:04014A000105031395 +:04014B002703C914A9 +:04014C008B09000318 +:04014D00C599FF6DE4 +:04014E0000C52303C2 +:04014F0000F58733FD +:040150000067002321 +:04015100BFF107856E +:0401520046F14781AA +:0401530000C7C363BB +:0401540087338082EB +:04015500470300F567 +:040156000313000788 +:04015700C558010581 +:040158002703C9149C +:040159008B0900030B +:04015A000785FF6DA9 +:04015B0047EDB7C5F0 +:04015C0002F5053370 +:04015D005533479D32 +:04015E00E46302F55F +:04015F00450100A7AF +:040160000001808298 +:04016100BFD507857A +:04016200451CC1195E +:0401630067E5EF91CC +:040164009AC7A7830C +:040165001151C395DC +:040166009782C406B2 +:0401670047D940A292 +:040168005529C11C38 +:04016900808201315E +:04016A00C14C419CA7 +:04016B00419CC11CD6 +:04016C00C188C3C8BB +:04016D008082450146 +:04016E0005136565AB +:04016F0047D90585E2 +:040170005529C11C30 +:04017100832A8082DB +:040172008383CA09B0 +:040173000023000560 +:04017400167D007381 +:0401750005850305F4 +:040176008082FA6D1C +:04017700832AC21500 +:0401780067634685EE +:0401790056FD00B57A +:04017A00FFF6071372 +:04017B0095BA933A64 +:04017C000005838374 +:04017D0000730023E8 +:04017E009336167D21 +:04017F00FA6D95B6CA :04018000832A8082CC -:040181008383CA09A1 -:040182000023000551 -:04018300167D007372 -:0401840005850305E5 -:040185008082FA6D0D -:04018600832AC215F1 -:0401870067634685DF -:0401880056FD00B56B -:04018900FFF6071363 -:04018A0095BA933A55 -:04018B000005838365 -:04018C0000730023D9 -:04018D009336167D12 -:04018E00FA6D95B6BB -:04018F00832A8082BD -:040190000023C61171 -:04019100167D00B324 -:04019200FE650305FE -:04019300460380821D -:04019400C683000519 -:040195000505000557 -:040196001363058565 -:04019700FA6500D62F -:0401980040D6053315 -:0401990067E5808214 -:04019A00C587C783CB -:04019B000BA36765E6 -:04019C004771C4F7EC -:04019D0002E787B33B -:04019E00071367617B -:04019F0097BA78078C -:0401A00000E7D6831B -:0401A1001423676557 -:0401A2004B98C4D7DB -:0401A3008B7D66E505 -:0401A400C4E69523F5 -:0401A5000147C683C5 -:0401A6001623676550 -:0401A700C683C4D770 -:0401A800676501275F -:0401A900C4D7132381 -:0401AA0066E5479827 -:0401AB0092238355C3 -:0401AC00C683C4E65C -:0401AD00676501572A -:0401AE00C4D71A2375 -:0401AF000137C683CB -:0401B0001923676543 -:0401B100D683C4D756 -:0401B200676500C7B6 -:0401B300C4D7182372 -:0401B4000167C70315 -:0401B500972367E540 -:0401B6008082C4E798 -:0401B700879367853E -:0401B80007B38027E2 -:0401B900273702F5ED -:0401BA000713000225 -:0401BB008FF91107A0 -:0401BC00071367219D -:0401BD0005330207FD -:0401BE00873702E598 -:0401BF00071300081A -:0401C0008D794407EA -:0401C10067C18D5D28 -:0401C20010178793F8 -:0401C30002F5053309 -:0401C40075138141ED -:0401C50080820FF530 -:0401C600C2221151EF -:0401C70000021437E7 -:0401C8004601C02606 -:0401C900059384AA6C -:0401CA00051304C055 -:0401CB00C40604045E -:0401CC00F5933BC1AB -:0401CD0046010FF4E4 -:0401CE00040405130D -:0401CF0005933BFD5C -:0401D000051304C04F -:0401D10046050404D7 -:0401D20005133B6571 -:0401D30044120404CA -:0401D400448240A27F -:0401D500013145852A -:0401D6001141B3E13F -:0401D7001437C422F3 -:0401D800C226000239 -:0401D90084AEC02A06 -:0401DA000593460142 -:0401DB00051304C044 -:0401DC00C60604044B -:0401DD0047823371B1 -:0401DE0005134601BE -:0401DF00F59304048C -:0401E0003B650FF775 -:0401E10004040513FA -:0401E20040B24422C1 -:0401E300449285A617 -:0401E400014146058A -:0401E5001151B35DA4 -:0401E600C4064511F5 -:0401E70040A23FB53E -:0401E80000456593D6 -:0401E9000FF5F59386 -:0401EA000131451189 -:0401EB001151B77D7A -:0401EC004581C22265 -:0401ED00453D842ADE -:0401EE003745C406C7 -:0401EF000C100513D8 -:0401F00075933FA123 -:0401F1008DC10FE5C8 -:0401F2000C100513D5 -:0401F3004412377902 -:0401F400458D40A253 -:0401F5000C60051382 -:0401F600B7410131DB -:0401F700C4221141CC -:0401F80000021437B6 -:0401F900C02AC22630 -:0401FA00460184AE88 -:0401FB0004A00593C4 -:0401FC0004040513DF -:0401FD003329C606D6 -:0401FE0046014782ED -:0401FF0004040513DC -:040200003B2585BE57 -:0402010004040513D9 -:0402020040B24422A0 -:04020300449285A6F6 -:040204000141460569 -:040205001141B31DD3 -:040206001437C422C3 -:04020700C226000209 -:0402080084AEC02AD6 -:040209000593460112 -:04020A00051302C016 -:04020B00C60604041B -:04020C00478239C12B -:04020D00051346018E -:04020E0085BE0404A1 -:04020F00051339FD9D -:04021000442204047C -:0402110085A640B2CC -:0402120046054492C7 -:04021300B1F50141FF -:04021400C2221151A0 -:040215000002143798 -:040216004601C026B7 -:04021700059384AA1D -:04021800051305C005 -:04021900C40604040F -:04021A00F5933961BE -:04021B0046050FF491 -:04021C0004040513BE -:04021D00059331D93B -:04021E00051305C0FF -:04021F004605040488 -:040220000513314150 -:04022100441204047B -:04022200448240A230 -:0402230001314585DB -:040224001141B9418A -:040225001437C422A4 -:04022600C2260002EA -:0402270084AEC02AB7 -:0402280005934601F3 -:04022900051305C0F4 -:04022A00C6060404FC -:04022B00478239913C -:04022C00051346016F -:04022D00F59304043D -:04022E0031410FF754 -:04022F0004040513AB -:0402300040B2442272 -:04023100449285A6C8 -:04023200014146053B -:040233001151B1BDF7 -:04023400C222C40618 -:040235000065478396 -:04023600456D842A64 -:040237000047959354 -:04023800F5938DDDD0 -:0402390037750FF511 -:04023A000064458394 -:04023B00375545717D -:04023C0000344583C2 -:04023D003F7145299F -:04023E0000444583B0 -:04023F003F514525C1 -:04024000005445839E -:0402410037714521AB -:0402420000044583EC -:0402430037514535B5 -:0402440000144583DA -:040245003FB545314B -:0402460000244583C8 -:0402470040A244127B -:040248000131452D0E -:040249005583B7BD65 -:04024A00115100A5A9 -:04024B0081A1C222A9 -:04024C000513842AE8 -:04024D00C40604B02F -:04024E0045833FA9FC -:04024F00051300A4EF -:040250003F8104A046 -:0402510000C455830D -:0402520004D00513BC -:04025300379181A1BD -:0402540000C445831A -:0402550004C00513C9 -:0402560055833F2D60 -:04025700051300E4A7 -:0402580081A104F08C -:040259004583373D65 -:04025A00051300E4A4 -:04025B00371504E06F -:04025C000044558382 -:04025D000510051370 -:04025E003F2181A11A -:04025F00004445838F -:04026000050005137D -:040261005583373951 -:04026200051300641C -:0402630081A1053040 -:04026400458337098E -:040265000513006419 -:040266003DE505204D -:040267000084558337 -:040268000550051325 -:0402690035F581A145 -:04026A000084458344 -:04026B000540051332 -:04026C00558335CDB4 -:04026D000513010470 -:04026E0081A10570F5 -:04026F0045833DD9AD -:04027000051301046D -:0402710035F10560FE -:04027200012455838B -:0402730005900513DA -:0402740035C181A16E -:040275000124458398 -:0402760005800513E7 -:0402770055833D5D11 -:040278000513014425 -:0402790081A105B0AA -:04027A004583356D16 -:04027B0044120144E4 -:04027C00051340A284 -:04027D00013105A0A6 -:04027E001151BD69F4 -:04027F001437C2224C -:040280004601000231 -:0402810002C005931F -:040282000404051358 -:040283003CCDC406A4 -:040284004585460561 -:040285000404051355 -:040286000593360D99 -:04028700051302C099 -:04028800460504041F -:0402890005133CF12C -:04028A004412040412 -:04028B00458540A2C3 -:04028C00B4FD01318B -:04028D00002517939E -:04028E000513655D92 -:04028F00953E400553 -:040290006561410C57 -:0402910005134645C6 -:04029200506F6B45F9 -:0402930045F11AC057 -:0402940002B5053377 -:04029500859365E107 -:04029600464578055C -:04029700656195AA5E -:040298006B4505139A -:040299001920506F69 -:04029A000E6347A9FF -:04029B0067E100F522 -:04029C008793050A35 -:04029D00953EBD4786 -:04029E006561410C49 -:04029F0005134645B8 -:0402A000506F6B45EB -:0402A10065D91740C4 -:0402A200D605859365 -:0402A3001151B7FD41 -:0402A400022005131C -:0402A500C222C406A7 -:0402A6003B5DC026D6 -:0402A7000FF57413C8 -:0402A800455945CDA2 -:0402A900648933FD34 -:0402AA007104851343 -:0402AB00E6EFF0EF9B -:0402AC00455D458DDA -:0402AD0085133BF981 -:0402AE00F0EF7104F8 -:0402AF006593E60F5E -:0402B0000513080426 -:0402B10033F1022003 -:0402B2003E80051372 -:0402B300E4EFF0EF95 -:0402B40007F4759343 -:0402B50040A244120D -:0402B6000513448266 -:0402B70001310220EF -:0402B8000693BB4DA1 -:0402B9000533467053 -:0402BA00069302D5D0 -:0402BB00665906403A -:0402BC00D6860613C9 -:0402BD0046B345C53A -:0402BE00656102D59F -:0402BF006B45051373 -:0402C0006E00406F1D -:0402C100000F46B72D -:0402C20024068693F5 -:0402C30002D5053328 -:0402C400879367892C -:0402C500071396473E -:0402C60036B73E8089 -:0402C70086930063B7 -:0402C8006659EA0683 -:0402C900D70606133B -:0402CA0057B345C51C -:0402CB00F7B302F58E -:0402CC00472902E7D5 -:0402CD0002D556B34D -:0402CE00051365614E -:0402CF00D7336B4571 -:0402D000406F02E792 -:0402D100069369E047 -:0402D20005052710E7 -:0402D30002D5053318 -:0402D4000640069347 -:0402D500061366594D -:0402D60045C5D7C67D -:0402D70002D546B353 -:0402D8000513656144 -:0402D900406F6B45C2 -:0402DA00069367A080 -:0402DB0005332710B0 -:0402DC00069302D5AE -:0402DD006659064018 -:0402DE00D7C6061366 -:0402DF0046B345C518 -:0402E000656102D57D -:0402E1006B45051351 -:0402E2006580406F84 -:0402E30086AABFF92F -:0402E4006561665991 -:0402E500D8460613DE -:0402E600051345C5F2 -:0402E700406F6B45B4 -:0402E80086AA64205E -:0402E900656166598C -:0402EA00D906061318 -:0402EB00051345C5ED -:0402EC00406F6B45AF -:0402ED0086AA62E09B -:0402EE006561665987 -:0402EF00D9C6061353 -:0402F000051345C5E8 -:0402F100406F6B45AA -:0402F200069361A06E -:0402F30006E2F80522 -:0402F4006561665981 -:0402F500061386E185 -:0402F60045C549466B -:0402F7006B4505133B -:0402F8006000406FF3 -:0402F90001851693D2 -:0402FA00665986E1DA -:0402FB0016D1656152 -:0402FC00DA06061305 -:0402FD00051345C5DB -:0402FE00406F6B459D -:0402FF0046855E6072 -:0403000000A696B30A -:040301006561665973 -:04030200D8460613C0 -:04030300051345C5D4 -:04030400406F6B4596 -:0403050005055CE0AE -:0403060096B34685DF -:04030700665900A68D -:040308000613656112 -:0403090045C5D90607 -:04030A006B45051327 -:04030B005B40406FA4 -:04030C0000454783DE -:04030D0086B247115C -:04030E0006F7696322 -:04030F00078A675999 -:04031000DBC707132D -:04031100439C97BAB8 -:04031200451C87827D -:04031300C703464591 -:04031400491C000779 -:0403150097BA070A82 -:040316006561438C4E -:040317006B4505131A -:040318007970406F49 -:04031900491C45181E -:04031A000007450390 -:04031B00491C878270 -:04031C008782450887 -:04031D008A2367E1E7 -:04031E0080826A0768 -:04031F00E611C1958D -:04032000464565D910 -:04032100DA85859361 -:040322005063BFC99C -:04032300665902060F -:0403240006136561F6 -:0403250045C5DB06E9 -:040326006B4505130B -:040327005440406F8F -:04032800DBE9455C6C -:04032900479C439812 -:04032A008082B7C155 -:04032B00C78367E538 -:04032C00C3E1C26700 -:04032D00C70367E1BA -:04032E0047855E871A -:04032F000AF71B634B -:0403300011116761DF -:040331004747071320 -:04033200C826CA22ED -:040333004401CC06AF -:040334004781448138 -:040335006765C23AFC -:04033600C277470340 -:04033700070E4692D5 -:0403380043109736A1 -:040339000006470370 -:04033A0000E7CD63A8 -:04033B00000247B7BE -:04033C003C97A22325 -:04033D003C87A42332 -:04033E00445240E203 -:04033F00017144C242 -:04034000475180821F -:0403410002E7873315 -:0403420096934250FC -:04034300C63E00575B -:04034400000247B7B5 -:0403450000F6853306 -:040346009732C036F4 -:040347004641430CDC -:0403480040EFC43A84 -:0403490047226D508A -:04034A00458547B2EC -:04034B00004746031E -:04034C0000F592B373 -:04034D001675C61645 -:04034E000FF676131D -:04034F000054E4B3BF -:0403500002C5F76388 -:040351004601853AA2 -:04035200C43E4581DF -:0403530047B735D59E -:04035400851300020B -:0403550047820107D3 -:04035600464165E1D6 -:040357008593953EB7 -:0403580040EF6B45C2 -:0403590042B26950F3 -:04035A00643347A21F -:04035B0007850054BE -:04035C008082B79D47 -:04035D00FD8101130A -:04035E00D2226765DB -:04035F00C4C75403B8 -:040360004703676583 -:04036100D026C46777 -:04036200C03A62E556 -:040363005703676570 -:0403640064E5C44741 -:04036500C23A6665CD -:04036600570367656D -:04036700D683C5472D -:040368005303C484F3 -:04036900C43AC4E6E8 -:04036A004703676579 -:04036B0085AAC52773 -:04036C00C48484932E -:04036D006765C63AC0 -:04036E00C507570365 -:04036F00C4A2D5034C -:04037000C4E60613C6 -:040371006761C83ABE -:040372006307071303 -:0403730000B7438309 -:04037400C4A282930A -:04037500CC1667E556 -:0403760062E1CA2650 -:04037700C783CE3238 -:040378008293C57730 -:040379008163780222 -:04037A00060308036B -:04037B001D6300A757 -:04037C00477106F6C9 -:04037D0002E607335A -:04037E0053839716F8 -:04037F00916300E79F -:04038000238306D3FA -:040381007493010769 -:04038200F3930FF5ED -:04038300996301F386 -:040384004383049318 -:040385009563014734 -:040386004383048326 -:040387004482012784 -:0403880004939063E7 -:040389000087238343 -:04038A00D393449233 -:04038B00996301531E -:04038C004383029312 -:04038D0044A201572E -:04038E0002939463DF -:04038F00013743836C -:040390009F6344B271 -:04039100570300937B -:0403920044C200C79A -:0403930000971A6352 -:040394000633477174 -:04039500961602E6D0 -:0403960001664703B2 -:040397000067066392 -:04039800460567654A -:04039900C4C70B23A7 -:04039A0087B347716D -:04039B00771302E7EB -:04039C0092BE01F517 -:04039D000102D783FF -:04039E0000D29723CF -:04039F0000828A232B -:0403A000E5339B8125 -:0403A100982300E7B6 -:0403A200478200A2EC -:0403A30000628B2346 -:0403A40089236561E3 -:0403A500479200F289 -:0403A6000057961353 -:0403A70000A2D78356 -:0403A8008FD18BFD69 -:0403A90000F29523A6 -:0403AA008AA347A239 -:0403AB0047B200F263 -:0403AC0000F289A32F -:0403AD00962347C28A -:0403AE0047F200F220 -:0403AF0002F596635A -:0403B000465006931A -:0403B10002D303333D -:0403B2000640069368 -:0403B300061366596E -:0403B40046B3DD0669 -:0403B500541202D309 -:0403B60045C5548263 -:0403B7006B4505137A -:0403B80002810113AA -:0403B9002FC0406FA2 -:0403BA00966347D22D -:0403BB00665900F58A -:0403BC00D9C6061385 -:0403BD0047E2B7CD8F -:0403BE0000F599634A -:0403BF0066594695A0 -:0403C00002D706B3A7 -:0403C100DD860613BC -:0403C200D683B7F92E -:0403C300B7C50005B5 -:0403C400656165DD2D -:0403C50006131151B9 -:0403C60085930460B7 -:0403C70005134F8546 -:0403C800C40673856F -:0403C900EDEFF0EF75 -:0403CA00656165DD27 -:0403CB0047C006130E -:0403CC0054058593BC -:0403CD007805051397 -:0403CE00ECAFF0EFB1 -:0403CF0067E540A2FC -:0403D0008B2347052F -:0403D1004501C4E737 -:0403D20080820131F3 -:0403D300DDC1011374 -:0403D40020B1282309 -:0403D50020C12A23F6 -:0403D60020D12C23E3 -:0403D7000C14862A52 -:0403D8002000059369 -:0403D900262300488F -:0403DA002E2320119D -:0403DB00202320E1DA -:0403DC00C03622F114 -:0403DD00525040EF4B -:0403DE0000A05563C3 -:0403DF00F0EF0048F3 -:0403E0002083980FCF -:0403E100450120C1F1 -:0403E20022410113A0 -:0403E3000113808200 -:0403E40067E1FC8150 -:0403E500DA06D62638 -:0403E6008613D82280 -:0403E7000083630725 -:0403E800477100A6B3 -:0403E9008733636192 -:0403EA00069302E094 -:0403EB0045837803CB -:0403EC00440301F6CF -:0403ED0084930126CE -:0403EE0043816307DD -:0403EF00D70396BAE0 -:0403F000C28300C6FE -:0403F100CA3A0146BD -:0403F2000136C70306 -:0403F30078030693F2 -:0403F400CC3AC83601 -:0403F5000FD5F71316 -:0403F600C683E331A6 -:0403F700C703023402 -:0403F800C68902545C -:0403F900973346856B -:0403FA00A00D00E66C -:0403FB0000C4C683F1 -:0403FC008063460DC7 -:0403FD00451102C6DE -:0403FE0012A684635C -:0403FF0093E3460935 -:040400001693FEC68B -:040401004705001794 -:0404020000D71733D5 -:040403000FF77393E9 -:040404000706A03116 -:0404050000E696B3C4 -:040406000FF6F39367 -:04040700FFF58693E4 -:04040800F693CE2277 -:0404090047050FF69E -:04040A006063C00269 -:04040B00469102D73D -:04040C000254C603CD -:04040D000E86ED6307 -:04040E00FFF406935E -:04040F0002C686B3E8 -:0404100000D716B348 -:040411000FF6F713D8 -:04041200C703C03A22 -:040413008313024409 -:0404140046816307B3 -:040415008793C63AC9 -:04041600C2026307B4 -:040417009513470DE5 -:0404180090630026C7 -:04041900C7030EE522 -:04041A00061302675C -:04041B008A3DFFF720 -:04041C0000A61633ED -:04041D00373345121A -:04041E00173300E0B0 -:04041F008E4900D72B -:0404200000776733C7 -:040421007393C232DD -:0404220006850FF745 -:0404230007854715ED -:04042400FCE696E379 -:04042500C4024701C5 -:04042600478D4519A0 -:040427000027161381 -:040428000AF59863D6 -:0404290002B3478350 -:04042A00FFF78693BF -:04042B0096B38ABD3D -:04042C00462200C69E -:04042D0000F037B3F1 -:04042E0000E797B399 -:04042F00C4368ED170 -:040430008FD546829C -:040431000FF7F79337 -:040432000705C03EBC -:0404330016E30305C4 -:0404340047F1FCA7E9 -:0404350002F087B397 -:04043600C602474271 -:040437004F9C97BA85 -:04043800CB918B8554 -:0404390000C4C783B1 -:04043A00C791C63E62 -:04043B000224C7834D -:04043C000017B7935B -:04043D00C783C63E6D -:04043E00470501E489 -:04043F0006E79163D8 -:0404400000C4C783AA -:0404410047F1CB991B -:0404420002F087B38A -:0404430097BA4742DB -:0404440047114BDC35 -:04044500956383F543 -:04044600C00204E705 -:04044700A0894381C4 -:0404480002C70733AD -:0404490000E6163380 -:04044A000FF67393A3 -:04044B000713BDC511 -:04044C000633FFE490 -:04044D00470D02C78E -:04044E0000C716339A -:04044F000FF677131A -:040450004732B72157 -:0404510000A71533B8 -:040452008F49471275 -:04045300BF35C23AB5 -:04045400963347B2E2 -:0404550047A200C7F3 -:04045600C43E8FD140 -:04045700DFD5B7BD79 -:0404580067214C9C30 -:0404590022E78B63A8 -:04045A0020F76163C3 -:04045B001000071373 -:04045C0026E78363A9 -:04045D00826367113E -:04045E00071326E773 -:04045F0086630800A8 -:0404600067E520E745 -:04046100C437C703D2 -:04046200186347A133 -:04046300979300F774 -:04046400929300125D -:04046500D293010726 -:04046600C783010245 -:040467004685014481 -:040468000057F663E0 -:0404690040F286B324 -:04046A0082C106C283 -:04046B00853347711D -:04046C0047F202E071 -:04046D008333D41EE3 -:04046E0047C24087BA -:04046F00450C953E65 -:040470004503478970 -:0404710081D501250B -:04047200026585B3E7 -:04047300D0369536B4 -:0404740002F5C5B315 -:0404750003D4C78362 -:0404760002650333E5 -:0404770001059613D2 -:0404780005C28241F6 -:0404790081C165597F -:04047A00DE05051383 -:04047B0002F407B3CD -:04047C000442881D91 -:04047D00961A97B282 -:04047E00064207C269 -:04047F00824183C172 -:04048000CE32D23E68 -:04048100830333A11D -:04048200477100A41A -:04048300073347C232 -:04048400C50302E3C7 -:040485005682012476 -:0404860053A24672C5 -:040487003FF67613B3 -:0404880000E78333D3 -:040489000123428386 -:04048A000083258343 -:04048B0002D507335C -:04048C0081D54C9436 -:04048D00F7935792F8 -:04048E0007CE7FF71F -:04048F0002A282B390 -:040490000FF77713D8 -:0404910085B30752D6 -:04049200F29302A53A -:0404930002AE1FF2A4 -:04049400052A891D8F -:04049500C6038D51BC -:040496008A1D013486 -:040497007FF5F59365 -:0404980000B2E5B316 -:0404990065C18F4D5D -:04049A00B6938E8DFA -:04049B0006F200164F -:04049C00C7038ED92B -:04049D0006360364B8 -:04049E00177D8D51E8 -:04049F0000E037330F -:0404A0008F550776F7 -:0404A10000D4C6833A -:0404A2008D5D8D419E -:0404A30047D206FA3C -:0404A40046838F55A7 -:0404A500F793018147 -:0404A600C6037FF713 -:0404A70006AE00C4D9 -:0404A80047838EDD1B -:0404A900067601537F -:0404AA008B9D45924F -:0404AB008EDD07CE0D -:0404AC0003E4C7831B -:0404AD0081B105B262 -:0404AE0003F7F793C6 -:0404AF008EDD07DAFD -:0404B0000404C783F6 -:0404B100C6038ED11F -:0404B2008B9D03F427 -:0404B3008A3D0792E5 -:0404B400C6038FD11B -:0404B5008A7D0544F3 -:0404B6008FD1061EBE -:0404B7000534C6033F -:0404B80006328A0579 -:0404B900F6138FD1D6 -:0404BA00065201F3F2 -:0404BB00C5838E4D1A -:0404BC0007CE020461 -:0404BD0089FD83CD65 -:0404BE008E4D05E674 -:0404BF000214C583DB -:0404C00005FA89852B -:0404C10045B28E4D65 -:0404C20001F593931A -:0404C300007663B3A9 -:0404C40005B7462210 -:0404C50015FD010020 -:0404C60000B67333D6 -:0404C7000234C60332 -:0404C80000B375B355 -:0404C9000076129314 -:0404CA00761346025D -:0404CB00E63303F61B -:0404CC00529300C285 -:0404CD00F293018322 -:0404CE00663304028B -:0404CF0022B70056FA -:0404D000A62300025D -:0404D100A82300E27A -:0404D200066200A21C -:0404D30000D2AA2386 -:0404D40000F2AC2363 -:0404D500AE238E4D77 -:0404D600A0230072ED -:0404D70050D202C23B -:0404D80054B2544284 -:0404D9000381011387 -:0404DA0007378082DE -:0404DB008B6300200F -:0404DC00073702E7F5 -:0404DD008B63040029 -:0404DE00674102E789 -:0404DF0006E7816348 -:0404E000004007379A -:0404E10002E7FA63D1 -:0404E200C783BBED24 -:0404E300440D03C4FD -:0404E40004068C1D61 -:0404E5000FF4741389 -:0404E600C783B3ED28 -:0404E700441103C4F5 -:0404E800BFCD8C1DDB -:0404E90003C4C783FE -:0404EA00BFDD441519 -:0404EB0003C4C783FC -:0404EC008C1D441906 -:0404ED000FF4741381 -:0404EE000364C78359 -:0404EF00DC0793E3B0 -:0404F00017A147D237 -:0404F10083C107C2FA -:0404F20047E2CA3ED5 -:0404F30007C207B184 -:0404F400CC3E83C1B6 -:0404F5004411B37D7E -:0404F600440DB36D91 -:0404F7004419B35D94 -:0404F80067E5B34DB4 -:0404F900A6831121A4 -:0404FA0067E1C2876D -:0404FB00C626C82227 -:0404FC0073878793E8 -:0404FD00C703CA0661 -:0404FE00C6030377B7 -:0404FF000413038758 -:0405000047853E806D -:040501000286D43367 -:040502001563648D8C -:04050300648900F710 -:040504008004849358 -:04050500453D4585A6 -:04050600C23AC432FF -:04050700F0EFC0361B -:040508004581B3CFA7 -:0405090003300513A3 -:04050A00B32FF0EF2C -:04050B000084D59300 -:04050C000340051390 -:04050D00B26FF0EFEA -:04050E00051345810B -:04050F00F0EF0350B6 -:040510007593B1CF5F -:0405110005130FF4CB -:04051200F0EF030003 -:040513005593B10F3C -:04051400F5930084D7 -:0405150005130FF5C6 -:04051600F0EF0310EF -:040517005593B00F39 -:04051800F593010452 -:0405190005130FF5C2 -:04051A00F0EF0320DB -:04051B004581AF0F58 -:04051C00F0EF453D7A -:04051D004581AE8FD7 -:04051E000C50051365 -:04051F00ADEFF0EF5D -:0405200064E14682CA -:040521008793471263 -:04052200C794620414 -:0405230046224785A0 -:040524006204849356 -:04052500036344091F -:04052600442900F76D -:0405270083A34685DF -:0405280082230084A6 -:0405290047BD00D4F6 -:04052A0000D6036391 -:04052B0082A34781DF -:04052C00051300F4BF -:04052D0005930E0024 -:04052E00C03A0C00C3 -:04052F00A9EFF0EF51 -:0405300047854702B2 -:040531000590051319 -:0405320014F71D633A -:04053300A4CFF0EF72 -:040534000FC57593E7 -:040535000015E59335 -:040536000590051314 -:04053700A7EFF0EF4B -:04053800F0EF45118A -:040539007593A36FA4 -:04053A0045110EB5A4 -:04053B00A6EFF0EF48 -:04053C000044C5832F -:04053D000E10051384 -:04053E00A62FF0EF05 -:04053F000E400593D2 -:040540000E20051371 -:04054100A56FF0EFC3 -:040542000054C58319 -:040543000E3005135E -:04054400F0EF89BD8E -:0405450045A1A48F99 -:040546000E4005134B -:04054700A3EFF0EF3F -:04054800453D458563 -:04054900A36FF0EFBD -:04054A00051345A1AF -:04054B00F0EF0910B4 -:04054C004581A2CF74 -:04054D000920051369 -:04054E00A22FF0EFF9 -:04054F0005134585C6 -:04055000F0EF09308F -:040551004581A18FB0 -:040552000940051344 -:04055300A0EFF0EF36 -:04055400051385A264 -:04055500F0EF09803A -:040556004593A04FDA -:0405570005920FF406 -:0405580000B5E59372 -:040559000FB5F59352 -:04055A0009900513EC -:04055B009EEFF0EF30 -:04055C00453D458153 -:04055D009E6FF0EFAE -:04055E000C100593E5 -:04055F000E00051372 -:040560009DAFF0EF6C -:04056100FC100793F0 -:0405620000F48323FB -:04056300453D458548 -:040564009CAFF0EF69 -:0405650005134585B0 -:04056600F0EF06802C -:0405670045819C0F1F -:0405680006900513E1 -:040569009B6FF0EFA5 -:04056A0005134581AF -:04056B00F0EF06B0F7 -:04056C0045819ACF5C -:04056D0006C00513AC -:04056E009A2FF0EFE1 -:04056F0007000593E9 -:0405700006D0051399 -:04057100996FF0EF9F -:04057200453D45813D -:0405730098EFF0EF1E -:040574000513458D99 -:04057500F0EF0CE0B7 -:040576004585984FD0 -:04057700F0EF453D1F -:04057800051397CF01 -:04057900E0EF3E80F1 -:04057A000513B35F53 -:04057B00F0EF03504A -:04057C00842A92AF8C -:04057D0003600513FF -:04057E00920FF0EFF9 -:04057F0080110512D0 -:0405800005138C498A -:04058100F0EF037024 -:040582001593912F0D -:040583008DC100C561 -:0405840040D24442DB -:04058500655944B2BE -:04058600E045051334 -:04058700F06F0161AF -:04058800F0EF92FFFF -:0405890075938F6F68 -:04058A00B57D0FC567 -:04058B00C026115124 -:04058C00C222C406BD -:04058D00000284B72D -:04058E0083ED409C1D -:04058F0087638BBD36 -:0405900047D10CA79C -:0405910002F507B3B5 -:04059200859365DD0B -:04059300842A40C5B1 -:040594008513465134 -:0405950095BE0044CB -:04059600FABFE0EFD9 -:0405970000F4779362 -:04059800002797138E -:040599006559409CC4 -:04059A00F79385A2AC -:04059B008FD9FC37C1 -:04059C000513C09CE7 -:04059D00F0EFE10595 -:04059E0064618D7F88 -:04059F006304079357 -:0405A00001C7C78345 -:0405A10063040413D8 -:0405A2006765C39531 -:0405A300C3E7071390 -:0405A4000007578372 -:0405A5009BF5452954 -:0405A60083C107C244 -:0405A70000F7102326 -:0405A80000021737FF -:0405A9000EF7202306 -:0405AA00A73FE0EF98 -:0405AB00000217B77C -:0405AC000D07A7830D -:0405AD000008073704 -:0405AE00EB8D8FF949 -:0405AF00000287B708 -:0405B00059634398B0 -:0405B1004398000764 -:0405B2006713450581 -:0405B300C3980017D2 -:0405B400A4BFE0EF11 -:0405B500000287B702 -:0405B60045294398F8 -:0405B700C3989B79D1 -:0405B80067134398EA -:0405B900C3980027BC -:0405BA00A33FE0EF8C -:0405BB0001C44783AD -:0405BC006765CF910F -:0405BD00C3E7071376 -:0405BE000007578358 -:0405BF000027E79397 -:0405C00000F710230D -:0405C10000021737E6 -:0405C2000EF72023ED -:0405C300441240A2FC -:0405C400013144823B -:0405C500011380821C -:0405C6002423ED41BC -:0405C70022231211C8 -:0405C8002023128159 -:0405C900C02A1291A1 -:0405CA007263473DD4 -:0405CB00655902A7C5 -:0405CC00E30505132B -:0405CD00819FF0EF2B -:0405CE00208357FD32 -:0405CF00240312816E -:0405D000248312412D -:0405D100853E120150 -:0405D20012C101133E -:0405D30057B7808214 -:0405D4008793445273 -:0405D500D03E355788 -:0405D600004157B7D2 -:0405D70044178793AB -:0405D8004782D23E46 -:0405D900B7931004C0 -:0405DA00052300F7FE -:0405DB00478202F160 -:0405DC000EE7936330 -:0405DD008793679504 -:0405DE0065E150077C -:0405DF0002F11423EE -:0405E000079346290E -:0405E100859304A05A -:0405E200051369454F -:0405E30005A302D199 -:0405E400062302F1F7 -:0405E500E0EF020140 -:0405E60067E5E6DF00 -:0405E700C407C783FB -:0405E800061365E1B0 -:0405E9000C2303607C -:0405EA0067E502F1CE -:0405EB00C1C7C7833A -:0405EC006C85859302 -:0405ED0004110513DD -:0405EE0002F10CA367 -:0405EF00C78367E572 -:0405F0008426C2D7C4 -:0405F10002F10BA365 -:0405F200C78367E56F -:0405F3000D23C247CB -:0405F40067E502F1C4 -:0405F500C137C783C0 -:0405F60002F10DA35E -:0405F700C78367E56A -:0405F8000E23C117F6 -:0405F90067E102F1C3 -:0405FA005D87C783CF -:0405FB0002F10EA358 -:0405FC00C78367E565 -:0405FD000F23C127E0 -:0405FE0067E102F1BE -:0405FF005E87C783C9 -:0406000002F10FA351 -:04060100C78367E163 -:0406020000235E97DC -:04060300E0EF04F12F -:040604004503DF5F6C -:0406050004050004E4 -:04060600EC5FE0EFD6 -:04060700FEA40FA39B -:0406080007710793DC -:04060900FEF417E301 -:04060A00A50367E5F8 -:04060B000693C20789 -:04060C0086260570C9 -:04060D00001F05B70E -:04060E00AEFFE0EF6C -:04060F001EE387AAB5 -:04061000C02AEE0509 -:04061100059365598F -:04061200051304A028 -:04061300F0EFE4051B -:040614004782EFEF3B -:040615006799B5DD4F -:04061600800787933F -:0406170002F11423B5 -:04061800047C07B7A0 -:040619000467879358 -:04061A00DC3E6461FD -:04061B00728447831B -:04061C006659EB89A7 -:04061D00E646061394 -:04061E00051345B5C6 -:04061F0030EF7284C2 -:0406200005931630F8 -:040621004635728464 -:0406220002B1051309 -:0406230036A040EFCE -:04062400061365E173 -:040625008593046055 -:040626001868738558 -:04062700D67FE0EFAB -:0406280006136461F0 -:04062900059309E04C -:04062A000513780438 -:04062B00E0EF0821D3 -:04062C0087A6D55F69 -:04062D000007C503FA -:04062E00E0EFC23EF9 -:04062F004792E23FCD -:0406300080231218F9 -:04063100078500A792 -:04063200FEE796E366 -:040633006365478232 -:04063400C2032503D5 -:04063500010787131F -:04063600010717930E -:04063700069385BEE3 -:040638008626100002 -:04063900C63EC43ABB -:04063A00A3FFE0EF4B -:04063B0007136365D9 -:04063C00CA3AC203F1 -:04063D0012E387AA93 -:04063E000793E40535 -:04063F0087137804A1 -:04064000C23A09E7CA -:040641008793472232 -:04064200C83E49E77E -:040643000713074250 -:04064400C43A10079D -:040645003DE004137D -:040646001000079306 -:04064700F4638722AF -:04064800071300870D -:0406490045921000C6 -:04064A00834107429F -:04064B001008863AD3 -:04064C00E0EFCC3AD5 -:04064D004762CD1F14 -:04064E00C50387A6B3 -:04064F00CE3A000798 -:04065000E0EFCC3ECD -:0406510047E2D9BFE4 -:0406520047721214C5 -:0406530000A7802359 -:0406540094E307859F -:0406550047D2FED7B3 -:0406560045B2462241 -:0406570010144388B0 -:040658008FDFE0EF61 -:040659001AE387AA6F -:04065A000693DC0522 -:04065B0087221000E2 -:04065C0000D47463EF -:04065D00100007136F -:04065E00F007071387 -:04065F000107141368 -:0406600046C2471235 -:0406610007138041BA -:04066200C23A100781 -:040663000713472210 -:04066400C43A10077D -:0406650011E3471244 -:040666004582F8D7FA -:0406670006136559B8 -:0406680005134C200A -:04066900C23EE6C5E2 -:04066A00DA4FF0EF84 -:04066B00B37147928E -:04066C00C026115142 -:04066D00849364E529 -:04066E00C503C2F40A -:04066F00C22200049F -:040670003B91C406F0 -:04067100E515842ADD -:040672000004C703B6 -:04067300468167E570 -:04067400C2E787232F -:04067500C78367E5EB -:04067600C781C2D79F -:04067700C68367E1EE -:0406780067E164C70B -:0406790069478793B3 -:04067A00802397B68C -:04067B00453D00E712 -:04067C0040A2331D48 -:04067D00441285227C -:04067E000131448280 -:04067F000113808261 -:0406800067E1ED81C0 -:04068100121122230D -:04068200128120239E -:0406830010912E2381 -:04068400C62EC22A92 -:040685007207842351 -:04068600C83E473DE6 -:0406870002A77263F1 -:040688000513655998 -:04068900F0EFE305A6 -:04068A00547DD26F5A -:04068B001241208375 -:04068C00240385229C -:04068D0024831201AF -:04068E00011311C182 -:04068F0080821281D2 -:0406900067654792C1 -:04069100C207250374 -:04069200069307C103 -:04069300959310002B -:0406940008700107E2 -:04069500E0EFC43E90 -:040696006765989F5D -:040697000793087449 -:04069800842AC207E7 -:04069900CA3E84B61B -:04069A000004C50390 -:04069B000485C036DC -:04069C00C6DFE0EFE6 -:04069D00FEA48FA385 -:04069E0046820A7C0A -:04069F00FE9796E349 -:0406A00065D9F455CF -:0406A100E90585934F -:0406A200E0EF086815 -:0406A3004682BC5F70 -:0406A400C909842AD2 -:0406A50065594592BC -:0406A600E9850513CA -:0406A700CB0FF0EF96 -:0406A800B7694405E5 -:0406A90002614703A0 -:0406AA004685CB11A5 -:0406AB0010D70563FC -:0406AC000513655974 -:0406AD00F0EFF6452F -:0406AE00BF8DC96FC4 -:0406AF00024145833C -:0406B00002514603AA -:0406B1000713E589BD -:0406B2000963055083 -:0406B300655900E69F -:0406B400EB850513BA -:0406B500C78FF0EF0C -:0406B600BF894409AB -:0406B7000281470372 -:0406B8000271460382 -:0406B9008F51072234 -:0406BA0004A006137F -:0406BB00F4C710E38D -:0406BC00FF8D47B2B5 -:0406BD0045396761F3 -:0406BE00694707136E -:0406BF00C60345A980 -:0406C000666300D697 -:0406C100033300C53A -:0406C200002300F71A -:0406C300078500C3E4 -:0406C40096E306852E -:0406C5004783FEB7B2 -:0406C6006765035110 -:0406C700C0F70E2347 -:0406C80066634725F9 -:0406C900676500F76A -:0406CA00C4F700234E -:0406CB004783A02998 -:0406CC007AE3034189 -:0406CD004703FEF7EA -:0406CE0067E5037168 -:0406CF0089A3656135 -:0406D0004703C0E735 -:0406D10067E5038155 -:0406D20003D10593B8 -:0406D300C0E788A351 -:0406D4000391470344 -:0406D500061367E1C0 -:0406D6008C2303600E -:0406D70047035CE792 -:0406D80067E503A12E -:0406D9006C85051314 -:0406DA00C0E78923C9 -:0406DB0003B147031D -:0406DC00842367E12B -:0406DD0047035EE78A -:0406DE0067E103C10C -:0406DF005EE784A3AB -:0406E0000331470398 -:0406E10086A367E5A0 -:0406E20067E1C2E723 -:0406E3006947C70399 -:0406E400872367E51C -:0406E5004703C2E71E -:0406E60067E5036160 -:0406E700C2E78223C1 -:0406E800A63FE0EF5A -:0406E90005936559B7 -:0406EA000513036091 -:0406EB00F0EFEF0538 -:0406EC004401B9EF1D -:0406ED004783BDA5DD -:0406EE00460302417C -:0406EF00C03E0251B6 -:0406F0000713E7897C -:0406F1000763058016 -:0406F200655900E660 -:0406F3000513458224 -:0406F400B709F0C58D -:0406F50003415683E4 -:0406F6000460071382 -:0406F700E4E698E3BA -:0406F80003615483C3 -:0406F90047C00713DC -:0406FA00E4E492E3BF -:0406FB00463547C277 -:0406FC0002710593EF -:0406FD007287851368 -:0406FE007FF030EF6A -:0406FF0097E347B284 -:040700006561E20746 -:040701000460061377 -:040702000513182C97 -:04070300E0EF73852B -:0407040047A29F5F0A -:04070500C402676162 -:04070600C63E07A242 -:0407070078070793D5 -:0407080006200413B0 -:0407090047C2C83EDD -:04070A000613472269 -:04070B008E0110004B -:04070C0000E785334A -:04070D0085B3087C2C -:04070E00C563008738 -:04070F00E0EF06C44D -:0407100047A29C5F01 -:04071100849394A297 -:040712008733F00435 -:040713000713408701 -:040714001793100720 -:0407150083C1010794 -:040716004782C43E14 -:0407170006934732CC -:040718000785100041 -:040719000FF7F7934C -:04071A0000E785B3BC -:04071B0047D2C03EC3 -:04071C0005A20870BA -:04071D0004C2438847 -:04071E00E0EF80C1C7 -:04071F00842AF64FE3 -:04072000450308780D -:04072100CC3A0007C7 -:04072200A55FE0EF00 -:040723000A7C4762A3 -:0407240000A7002307 -:0407250016E30705CB -:0407260019E3FEF7DE -:040727004401D804AD -:04072800A021F0D943 -:04072900E0EF862651 -:04072A00459295DF80 -:04072B0067E56559C0 -:04072C000613470564 -:04072D0005134C2044 -:04072E008B23F40520 -:04072F00F0EFC4E73C -:04073000BDC5A8EFAC -:04073100C02611517C -:04073200849364E563 -:04073300C503C2F444 -:0407340045810004F7 -:04073500C406C22212 -:04073600842A331DC1 -:04073700C703E121F2 -:0407380067E500046D -:04073900872346A923 -:04073A0067E1C2E7CA -:04073B0077D7C78322 -:04073C0000D78563FA -:04073D00802366E5CA -:04073E0067E5C4F6B1 -:04073F00C2D7C783D3 -:04074000C7814681A6 -:04074100C68367E51F -:0407420067E1C407A0 -:0407430069478793E8 -:04074400802397B6C1 -:04074500453D00E747 -:040746009FFFF0EF32 -:04074700852240A225 -:040748004482441291 -:040749008082013178 -:04074A00458511418F -:04074B00C02AC606F4 -:04074C00CCFFF0EFFF -:04074D004783676116 -:04074E0046827287E6 -:04074F007287071393 -:040750006759E7817D -:04075100F7C70713CC -:04075200665940B2F2 -:0407530006136561C3 -:0407540045C5F84659 -:040755006B450513D8 -:04075600306F0141BE -:040757001151486094 -:04075800C222C406EF -:0407590084AAC02688 -:04075A00C93FE0EFC4 -:04075B0000349413BF -:04075C008C49991D0E -:04075D000FF474130E -:04075E00450585A226 -:04075F00A9BFE0EF5F -:04076000450985A220 -:04076100A93FE0EFDD -:04076200450D85A21A -:04076300A8BFE0EF5C -:0407640040A2441259 -:04076500448285A69F -:0407660005136559B9 -:040767000131F8C59F -:040768009ACFF06FC5 -:04076900C226114152 -:04076A00451184AA07 -:04076B00C032C422B2 -:04076C00C606842E0B -:04076D00A9DFE0EF31 -:04076E007593460237 -:04076F000F630F8580 -:0407700007930E06D7 -:04077100EB637FF0C7 -:0407720004860E9754 -:040773000015E593F5 -:0407740004C2451165 -:04077500ABFFE0EF07 -:04077600D59380C1D6 -:04077700F5930044B2 -:0407780045050FF52F -:04077900AAFFE0EF04 -:04077A00004495930F -:04077B000F05F593DE -:04077C00E0EF45095C -:04077D006559AA1FF1 -:04077E00051385A634 -:04077F00F0EFFA8518 -:0407800007B794EF34 -:040781008793019CBD -:04078200D7B3CC0716 -:0407830007130287CF -:0407840065593E80F5 -:04078500FCC5051397 -:0407860087B34401F0 -:04078700D5B302974D -:04078800C03E02E786 -:0407890002E5F6335C -:04078A0002E5D5B3FC -:04078B00920FF0EFEA -:04078C005737478212 -:04078D000713022527 -:04078E0070630FF78E -:04078F00273702F70F -:040790000713042C1B -:040791004405D7F74D -:0407920000F7796390 -:04079300080BF43724 -:04079400FBF404135B -:0407950000F4343305 -:0407960067E104090A -:04079700A7C78793D6 -:04079800C50397A25C -:0407990007930007BB -:04079A0005330280A1 -:04079B00D79302F5F9 -:04079C00953E001472 -:04079D00029544B3CA -:04079E00F493479DEC -:04079F0086260FF4A7 -:0407A0000097F36368 -:0407A10067DD461DAD -:0407A2000024171305 -:0407A3003887879379 -:0407A400438C97BA31 -:0407A5000FF6749344 -:0407A60086266559E5 -:0407A700FF05051332 -:0407A800041A048E9D -:0407A9008A8FF0EF54 -:0407AA0075938C4572 -:0407AB0044220F8451 -:0407AC00449240B281 -:0407AD000141450DB4 -:0407AE009DBFE06F9C -:0407AF00E0EF451121 -:0407B000BF219D5F69 -:0407B100C2221151FE -:0407B2000513842A7D -:0407B300C40603F085 -:0407B400981FE0EFBB -:0407B5000F05759324 -:0407B60005138DC1D9 -:0407B700E0EF03F07C -:0407B80085A29B5F1C -:0407B90040A2441204 -:0407BA000513655965 -:0407BB000131008583 -:0407BC0085CFF06F86 -:0407BD00879367E1D6 -:0407BE0043CC6307BE -:0407BF00C2221151F0 -:0407C000019C04375D -:0407C100CC0404134D -:0407C20002B45433F6 -:0407C300C406C02682 -:0407C40000A7878380 -:0407C50084AA47714A -:0407C60002E787338C -:0407C700879367E1CC -:0407C80097BA78075D -:0407C90000E7D5036D -:0407CA0002A404334E -:0407CB000513655954 -:0407CC0085A20245BB -:0407CD00818FF0EF39 -:0407CE00879367E5C1 -:0407CF00ECA9C417B6 -:0407D0000007C70354 -:0407D1001D634789D4 -:0407D200C7B700F7AE -:0407D300879301C93E -:0407D400E36337F7AD -:0407D50044BD008798 -:0407D60037AD852690 -:0407D700A805450D1F -:0407D800378D450113 -:0407D900C70367E506 -:0407DA000793C43786 -:0407DB00F793FF870A -:0407DC00C7990F7733 -:0407DD0045014791FA -:0407DE0000F71B63A2 -:0407DF00A801450523 -:0407E00004C4B53761 -:0407E1003FF50513C8 -:0407E2000085353326 -:0407E30044120509AE -:0407E400448240A269 -:0407E500B3E101314A -:0407E6000007C783BE -:0407E70097634409C7 -:0407E800453D008704 -:0407E900FAF48BE3B0 -:0407EA00BF454501C1 -:0407EB003F1945016C -:0407EC007EE3450D56 -:0407ED004795FC949C -:0407EE004097853378 -:0407EF000FF575137A -:0407F0001151B7F9F3 -:0407F100842AC22272 -:0407F200C40645698B -:0407F300885FE0EF4C -:0407F40000641593F5 -:0407F50003F5751380 -:0407F600F5938DC921 -:0407F70045690FF54C -:0407F8008B3FE0EF64 -:0407F900441285A27F -:0407FA00655940A25B -:0407FB00034505139A -:0407FC00E06F013178 -:0407FD001141F5BFF2 -:0407FE00842AC42263 -:0407FF00C6064511D4 -:04080000C02EC2261E -:0408010084DFE0EFC1 -:04080200891D460204 -:0408030002C40433F4 -:040804004054549375 -:040805001593887D42 -:040806008DC9003464 -:04080700E0EF4511C8 -:040808004602875FBE -:040809000FF4F59360 -:04080A000693655993 -:04080B00058500144B -:04080C0005050513C6 -:04080D00F19FE0EF88 -:04080E00442240B28E -:04080F000FF4F513DA -:0408100001414492CC -:0408110001138082CD -:04081200D022FD8172 -:040813006759CE262D -:04081400832AD2065B -:04081500843282AEF9 -:04081600079384B60A -:04081700C601080707 -:04081800879367D982 -:0408190066DD07C7CA -:04081A008616655980 -:04081B00C026859AD4 -:04081C0008070713AF -:04081D00AE8686938A -:04081E000845051371 -:04081F00C21AC4161F -:04082000ECDFE0EF3A -:04082100478942A21F -:040822009463431286 -:04082300079312F233 -:0408240007A3050021 -:04082500478300F114 -:04082600041E00F1BB -:0408270000846413D2 -:04082800048007132E -:040829000027E7932A -:04082A000FF4741340 -:04082B004585888DEA -:04082C000823453D1B -:04082D00092300E1BA -:04082E00C21A006189 -:04082F0000F107A32A -:0408300008A3C43E17 -:0408310009A3008196 -:04083200CA02009165 -:04083300E0EFCC0224 -:0408340047A2E8CF20 -:040835000580051322 -:04083600E0EF85BEAC -:040837000593E80F2E -:040838000513048020 -:04083900E0EF059057 -:04083A0085A2E74F5D -:04083B0005A00513FC -:04083C00E6AFE0EF54 -:04083D00051343124A -:04083E00440105B0BC -:04083F00E0EF859AC7 -:0408400085A6E5CFD5 -:0408410005C00513D6 -:04084200E52FE0EFCF -:0408430005134581D3 -:04084400E0EF05E0FC -:040845004581E48F76 -:0408460005F00513A1 -:04084700E3EFE0EF0C -:0408480005134581CE -:04084900E0EF0600D6 -:04084A004581E34FB2 -:04084B00061005137B -:04084C00E2AFE0EF48 -:04084D0005134581C9 -:04084E00E0EF0620B1 -:04084F004581E20FEE -:040850000630051356 -:04085100E16FE0EF84 -:0408520005134581C4 -:04085300E0EF06408C -:040854004581E0CF2B -:040855000650051331 -:04085600E02FE0EFC0 -:040857000713007C07 -:04085800C5830191C2 -:0408590007850037D8 -:04085A0074138C0D7A -:04085B001AE30FF499 -:04085C006559FEF7E5 -:04085D000E4505132C -:04085E00DD5FE0EF8B -:04085F0005136559BF -:04086000E0EF470579 -:040861000593DCBF60 -:04086200F59306F410 -:0408630005130FF575 -:04086400E0EF05D0EC -:040865004581DC8F5E -:04086600E0EF453D3D -:040867005402DC0F4C -:0408680044F2509274 -:040869000513458DA1 -:04086A0001130CD09A -:04086B00E06F0281B7 -:04086C0047C1DACFD7 -:04086D001101BDF9BF -:04086E00842ACC22EA -:04086F00CE06450567 -:04087000E0EFCA26C5 -:040871004511DECF80 -:04087200D50FE0EFCF -:040873000085659304 -:040874000FF5F593F4 -:04087500E0EF45115A -:040876000593D84FBF -:040877000513030062 -:04087800E0EF061097 -:040879004581D78F4F -:04087A000CD0051386 -:04087B00D6EFE0EFE5 -:04087C00871367E196 -:04087D0047036307C3 -:04087E008793010754 -:04087F00C43E630709 -:0408800000E037B3AA -:040881004709078597 -:04088200C03AC23E78 -:04088300036347853F -:04088400C00200F4BA -:04088500451145F5DF -:04088600D42FE0EF9C -:04088700079366E18C -:040888008613FFE4F0 -:0408890037B3620619 -:04088A00971300F0D0 -:04088B004783001788 -:04088C009BF500C612 -:04088D0087138FD965 -:04088E0006236206D5 -:04088F00C63A00F66F -:04089000C7818B8908 -:04089100E0EF45054A -:0408920047B2D68F04 -:0408930045816559DD -:040894000037C483E2 -:040895000F450513F3 -:04089600E0EF8626E3 -:040897000513CF3F37 -:04089800E0EF070086 -:04089900F793CB6F97 -:04089A0075930084CE -:04089B00CB910035C8 -:04089C00E593655922 -:04089D000513020538 -:04089E00C82E11054A -:04089F00CD1FE0EF9A -:0408A000F79345C2C3 -:0408A100CB910014E3 -:0408A200E59365591C -:0408A3000513010533 -:0408A400C82E1285C3 -:0408A500CB9FE0EF16 -:0408A600F79345C2BD -:0408A700CB910024CD -:0408A800E593655916 -:0408A90005130085AE -:0408AA00C82E1385BC -:0408AB00CA1FE0EF91 -:0408AC00889145C228 -:0408AD006559C89130 -:0408AE000045E59389 -:0408AF0014C5051354 -:0408B000E0EFC82E7F -:0408B10045C2C8BFB5 -:0408B2000700051323 -:0408B300C8EFE0EFBB -:0408B400051365596A -:0408B500E0EF15C596 -:0408B6004782C77F2F -:0408B7006559CBB5FF -:0408B80017450513C8 -:0408B900C69FE0EF07 -:0408BA0045896559AE -:0408BB0018C5051344 -:0408BC00C5DFE0EFC5 -:0408BD000513655961 -:0408BE0064E11A05D2 -:0408BF00C51FE0EF82 -:0408C0005DC48493FC -:0408C1000004C583E7 -:0408C20007300513E3 -:0408C300C4EFE0EFAF -:0408C4000014C583D4 -:0408C50007400513D0 -:0408C600C42FE0EF6C -:0408C7000024C583C1 -:0408C80007500513BD -:0408C900E0EF448197 -:0408CA0067E1C34FD0 -:0408CB00C007879348 -:0408CC0000978733D7 -:0408CD000007458358 -:0408CE000764851323 -:0408CF00E0EF0485CD -:0408D00067E1C1CF4C -:0408D1008793474979 -:0408D20093E3C007E5 -:0408D300A039FEE466 -:0408D400051365594A -:0408D500E0EF1B0530 -:0408D600C002BF7F1E -:0408D70007200513DE -:0408D800BB8FE0EF03 -:0408D900759347824A -:0408DA00051301C53C -:0408DB008DDD072088 -:0408DC00BEAFE0EFDC -:0408DD00458547B254 -:0408DE0000C7C78305 -:0408DF00E3918B898D -:0408E0000513458136 -:0408E100E0EF0C0038 -:0408E20045D5BD4FEC -:0408E300E0EF4511EC -:0408E40045C1BCCF7F -:0408E50006100513E1 -:0408E600BC2FE0EF54 -:0408E7000513655937 -:0408E800E0EF1C859C -:0408E9004712BABF39 -:0408EA0011634789C6 -:0408EB00059312F768 -:0408EC000513088068 -:0408ED00E0EF062012 -:0408EE0045C1BA4FF7 -:0408EF0006300513B7 -:0408F000B9AFE0EFCD -:0408F1000840059323 -:0408F20006400513A4 -:0408F300B8EFE0EF8B -:0408F4003E8005132A -:0408F500D47FD0EFED -:0408F600E0EF4511D9 -:0408F7007593B3EF53 -:0408F800451101D5D0 -:0408F900B76FE0EF06 -:0408FA00051365611C -:0408FB00D0EF6A05CB -:0408FC004511D2DFF1 -:0408FD00B24FE0EF27 -:0408FE000155759398 -:0408FF00E0EF4511D0 -:040900000493B5CFD8 -:04090100453906402E -:04090200B10FE0EF62 -:04090300E909894134 -:0409040004C214FD18 -:040905003E80051318 -:04090600D0EF80C1ED -:04090700F4E5D01F24 -:0409080045314581AF -:04090900B36FE0EFF9 -:04090A00040005934D -:04090B00E0EF45359F -:04090C004585B2CF9C -:04090D00E0EF453999 -:04090E004581B24F1E -:04090F00E0EF453997 -:040910004581B1CF9D -:04091100E0EF453D91 -:040912004581B14F1B -:0409130006100513B2 -:04091400B0AFE0EFB1 -:040915000610049331 -:04091600E0EF852663 -:0409170067D9ABEF02 -:040918000FF576134E -:04091900851385A617 -:04091A00E0EF1D47A6 -:04091B000485AE3F62 -:04091C0006800793B7 -:04091D00FEF492E36F -:04091E00066347899C -:04091F0047A202F4F5 -:04092000849364E177 -:04092100C683738492 -:04092200C50300F712 -:04092300C6030117EF -:0409240035B30244A1 -:0409250005860080C3 -:04092600BAFFF0EF35 -:040927000244C7833C -:04092800012347223E -:04092900E0EF04F700 -:04092A004581AF0F45 -:04092B000CE00513C4 -:04092C00AAAFE0EF9F -:04092D00046347898F -:04092E00E0EF00F402 -:04092F004462F29F8D -:0409300044D240F27B -:040931006105450116 -:04093200AE6FE06F55 -:04093300051345E182 -:04093400E0EF0620CA -:0409350045C1A88F81 -:04093600063005136F -:04093700A7EFE0EF57 -:04093800B5DD45B133 -:0409390001A31141C4 -:04093A00153700A1CC -:04093B00C4220002D0 -:04093C00842E4605BA -:04093D000405051395 -:04093E0000310593EC -:04093F00E0EFC60619 -:040940008522886F15 -:04094100C17FD0EFB3 -:04094200442240B259 -:04094300808201416C -:04094400C822112193 -:0409450007136465CB -:040946005783C3E42C -:04094700CA060007D5 -:04094800F793C62635 -:0409490007C2F9F7F1 -:04094A00102383C132 -:04094B0016B700F7E4 -:04094C00C02E0002B7 -:04094D000EF6A023DF -:04094E0032000593DB -:04094F00450584AA2C -:0409500005133755FF -:04095100D0EF1900CA -:040952000713BD5F6B -:040953005783C3E41F -:0409540016B70007CB -:0409550045C1000296 -:040956000207E7931A -:0409570000F7102372 -:040958000EF6A023D4 -:0409590030EF8526D0 -:04095A0077936B40E4 -:04095B0004130FF57D -:04095C00EB89C3E47C -:04095D00464565E1C5 -:04095E009EC585931A -:04095F0030EF8526CA -:0409600047856780E0 -:0409610086B3470111 -:04096200C50300E4E5 -:0409630045D1000674 -:04096400C23AC43E91 -:0409650047123F8175 -:04096600070547A298 -:040967000FF776937D -:04096800FEF6E3E3D1 -:0409690000045783AC -:04096A00000214B7BC -:04096B00F79345D1E8 -:04096C0007C2FDF7CA -:04096D00102383C10F -:04096E00A02300F4CE -:04096F0005130EF46A -:04097000370D0C0033 -:0409710000045783A4 -:0409720045C1450234 -:040973000207E793FD -:0409740000F4102358 -:040975000EF4A023B9 -:04097600642030EFDA -:040977000FF5749371 -:040978004502E889C3 -:04097900464565E1A9 -:04097A009EC58593FE -:04097B0060A030EF59 -:04097C0047814485E6 -:04097D0045D1470217 -:04097E00973EC23EA0 -:04097F000007450325 -:04098000479235D590 -:04098100F7130785DC -:0409820065E30FF723 -:040983005783FE9701 -:0409840040D2000459 -:04098500000217371E -:040986000407E793E8 -:0409870000F4102345 -:0409880020234442A2 -:0409890044B20EF76F -:04098A008082016105 -:04098B00C026115120 -:04098C00C222C406B9 -:04098D0067E14705D2 -:04098E00086364E1B5 -:04098F00676100E5B7 -:040990005E874683B5 -:040991009A63470915 -:04099200859302E661 -:0409930046416A0768 -:0409940000024537E1 -:040995005A2030EFC5 -:0409960000024437E0 -:0409970085934641BD -:0409980005136B4494 -:0409990030EF020435 -:04099A00470D5900AC -:04099B003C042623CF -:04099C003CE42223F2 -:04099D003C042423CF -:04099E00441267E1B7 -:04099F00859340A25A -:0409A00044826B44DE -:0409A1006A07851349 -:0409A200F06F0131C0 -:0409A30067E5E87F9D -:0409A400C257C783EC -:0409A500FD810113BC -:0409A6000785D022CF -:0409A7000FF7F793BC -:0409A80007C207B2C9 -:0409A900646583C13D -:0409AA000713C83E29 -:0409AB005783C3E4C7 -:0409AC0046C2000738 -:0409AD00CE26D2067A -:0409AE0076E18FD58A -:0409AF0007C28FD517 -:0409B000102383C1CC -:0409B100C20200F787 -:0409B20000021737F1 -:0409B30064E1C40235 -:0409B400202366593D -:0409B50006130EF720 -:0409B60045C51E868F -:0409B7006B448513F5 -:0409B800301020EFEC -:0409B9003799450124 -:0409BA00177D6741FD -:0409BB000413478555 -:0409BC00CA3AC3E48C -:0409BD0000021737E6 -:0409BE000D07268378 -:0409BF008EF146521D -:0409C000EBE9C63663 -:0409C10066E1CAE140 -:0409C2006C86869326 -:0409C3000166D603F0 -:0409C400578345B25E -:0409C50013630004B4 -:0409C60076F512B6FA -:0409C7008FF516FD95 -:0409C80000F4102304 -:0409C9000EF72023E2 -:0409CA008A23450136 -:0409CB00F0EF6A04DB -:0409CC002537EFFFDD -:0409CD000513000608 -:0409CE00D0EFA805B9 -:0409CF0057839E1F8D -:0409D0004742000496 -:0409D1008FD96691C3 -:0409D20007C28FD5F4 -:0409D300102383C1A9 -:0409D400173700F4DD -:0409D50020230002D9 -:0409D60067E50EF7CC -:0409D700C387A70328 -:0409D800C3878793B7 -:0409D9000737EB01F0 -:0409DA000713019C62 -:0409DB00C398CC07EA -:0409DC009EFFD0EFBB -:0409DD00A0FFD0EFB8 -:0409DE00879367E5AF -:0409DF004398C387EF -:0409E00002E5706359 -:0409E10000022737B2 -:0409E2001693471809 -:0409E30082C10107C5 -:0409E4001693C2366E -:0409E50082D1004774 -:0409E600CC3AC4360D -:0409E700FC075CE3CA -:0409E8000007A02341 -:0409E900EF954792AD -:0409EA000613665931 -:0409EB0045C51F4699 -:0409EC006B448513C0 -:0409ED0022D020EF05 -:0409EE00F0EF4501E0 -:0409EF005703E73F84 -:0409F00077F1000497 -:0409F1008FF917FD66 -:0409F20000F41023DA -:0409F30000021737B0 -:0409F4000EF72023B7 -:0409F5000513650978 -:0409F600D0EF7105C8 -:0409F70047B2941F50 -:0409F8004792BF1152 -:0409F9009663475268 -:0409FA00665900E753 -:0409FB002046061379 -:0409FC004712BF7D62 -:0409FD00660545A2A4 -:0409FE000640079315 -:0409FF0056B3167D58 -:040A0000773302F74F -:040A01009B6302F7FA -:040A0200665900C56C -:040A030020C60613F0 -:040A0400851345C54C -:040A050020EF6B442F -:040A0600BF791CB0E8 -:040A070045C5462279 -:040A08006B448513A3 -:040A090002F6763348 -:040A0A004622C0328E -:040A0B0002F657B3E5 -:040A0C00061366590E -:040A0D0020EF21862F -:040A0E00BFBD1AB09E -:040A0F000186D68303 -:040A10009EE34632E9 -:040A110007D2F6C64C -:040A1200102383D159 -:040A1300509200F409 -:040A14002023540245 -:040A150044F20EF7A2 -:040A16000113450182 -:040A17008082028156 -:040A1800C78367E544 -:040A1900E3B5C26718 -:040A1A00C4061151AC -:040A1B00C026C2220D -:040A1C004737C90986 -:040A1D002783000229 -:040A1E00E7933C0717 -:040A1F002023002769 -:040A200064E13CF75A -:040A21007004859345 -:040A220045374641CD -:040A230030EF0002AE -:040A2400443736809D -:040A250067E1000283 -:040A260071478593FC -:040A270002040513AD -:040A280030EF464124 -:040A2900470D354000 -:040A2A003C0426233F -:040A2B003CE4222362 -:040A2C003C0424233F -:040A2D0040A244128D -:040A2E0070048513B8 -:040A2F0067E14482B5 -:040A300071478593F2 -:040A3100F06F013130 -:040A32008082C4BF3B -:040A3300470567E527 -:040A34008E23636149 -:040A35000793C2E77A -:040A360043D463033F -:040A3700F9C10113ED -:040A3800D086CEA2F4 -:040A3900438CCCA678 -:040A3A000087C70367 -:040A3B00630304133A -:040A3C0018068B63AA -:040A3D0018058963AC -:040A3E00019C04B75C -:040A3F00A0EEC7B7A7 -:040A4000CC048493CB -:040A4100B0078793E0 -:040A420002D4D4B353 -:040A430002B7D7B36C -:040A4400D7B3C71944 -:040A4500C23E02D7D4 -:040A4600070006138C -:040A47000786A03945 -:040A480002D7D7B347 -:040A49000613C23E90 -:040A4A006559069054 -:040A4B0022C50513A8 -:040A4C00E1CFE0EF27 -:040A4D003E8007934D -:040A4E0002F4D733A4 -:040A4F006559404C59 -:040A500023C50513A2 -:040A51004712C43A4A -:040A520002F4F4B303 -:040A530006400793BF -:040A540057334622AC -:040A550086A602F778 -:040A56004712C63A43 -:040A570002F777B378 -:040A5800C83E47321B -:040A5900DE8FE0EF5D -:040A5A0003B00513CD -:040A5B00EE5FD0EF8B -:040A5C000FF5771308 -:040A5D000513CA2A89 -:040A5E00CC3A03C0CB -:040A5F00ED5FD0EF88 -:040A6000468347D2B0 -:040A61007613009474 -:040A6200F79301F510 -:040A630065590FF7CB -:040A6400051385BE33 -:040A6500CA3E274519 -:040A6600DB4FE0EF93 -:040A670001C44783FC -:040A680000844603BD -:040A690000279713B8 -:040A6A00879367DD2A -:040A6B0097BA398776 -:040A6C004018439457 -:040A6D0007000793E4 -:040A6E000793E219EF -:040A6F00665906902E -:040A700006136561A3 -:040A710045C52A0647 -:040A720070050513F3 -:040A7300015020EF1F -:040A7400D733472904 -:040A750047C202E48E -:040A7600665946A2D5 -:040A770047B2C03E84 -:040A7800061365619B -:040A790045C52AC67F -:040A7A0071450513AA -:040A7B007F4020EFA9 -:040A7C00F0EF45054D -:040A7D00401CE6FF34 -:040A7E000324470303 -:040A7F00020105A3C8 -:040A80004783C43EA6 -:040A81004681008426 -:040A82004791C63E94 -:040A830047A1D63E73 -:040A840000E797B33D -:040A850003344703EC -:040A86000087E7936B -:040A87000793D83EBB -:040A880097B34000E0 -:040A8900470300E738 -:040A8A00DA3E034409 -:040A8B00000207B7A7 -:040A8C0000E797B335 -:040A8D0003544703C4 -:040A8E0007B7DC3E8C -:040A8F0097B30040D9 -:040A9000DE3E00E75F -:040A910002B1079314 -:040A92000793C0BE48 -:040A9300C2BE037468 -:040A9400038407933D -:040A95000793C4BE41 -:040A9600C6BE039441 -:040A970003A407931A -:040A98000793C8BE3A -:040A9900CABE03B41A -:040A9A00829367E1FB -:040A9B0084937807C1 -:040A9C009313780731 -:040A9D000793018634 -:040A9E00531302905C -:040A9F009E6341838E -:040AA000537D00F68C -:040AA1006785A609B6 -:040AA20077078793B8 -:040AA300C23E64915A -:040AA400D54484931E -:040AA500E80712E369 -:040AA60047F1B579E6 -:040AA70002F687B319 -:040AA80097A6458D3B -:040AA90083754BD82E -:040AAA0014B7086312 -:040AAB000C63461181 -:040AAC00460914C71C -:040AAD0006C71D63F8 -:040AAE00100006131B -:040AAF006641DA3290 -:040AB0000613DC321B -:040AB100DE324000F1 -:040AB20006134B9C40 -:040AB30083951C10FB -:040AB4007FF7F7933E -:040AB50004C79D6372 -:040AB600851665D963 -:040AB7008593461DC0 -:040AB800D2362C45C1 -:040AB900CE3AD01A47 -:040ABA0030EFC8163B -:040ABB0042C20DE046 -:040ABC005302477228 -:040ABD00E51956924F -:040ABE000444478322 -:040ABF000685EB8D30 -:040AC000BF8502F1FB -:040AC100851665D958 -:040AC2008593461DB5 -:040AC300D2362CC536 -:040AC400CE3AD01A3C -:040AC50030EFC81630 -:040AC60042C20B20FD -:040AC700530247721D -:040AC800E51156924C -:040AC9000444460398 -:040ACA000AE347856F -:040ACB0047F1FCF6FD -:040ACC0002F687B3F4 -:040ACD00D60397A60F -:040ACE0047C500A771 -:040ACF000B638A7DAE -:040AD000079300F692 -:040AD1008BFD00D6C3 -:040AD200F5634585FE -:040AD30047FD00F5E6 -:040AD40000F61863AD -:040AD500678545925A -:040AD60057B78793F4 -:040AD700FAB7E1E3A6 -:040AD800179308ACBC -:040AD90097AE0027AD -:040ADA00FE87A78369 -:040ADB000182A503EC -:040ADC000007C783C5 -:040ADD0097AE078A3F -:040ADE00FD47A783A6 -:040ADF00D3C18FE907 -:040AE000459343B245 -:040AE1008985FFF50F -:040AE200F6B39BE3E9 -:040AE30085B345F1A1 -:040AE40043A202B671 -:040AE500498C95A6FD -:040AE600F59381956E -:040AE70005F97FF599 -:040AE800F475EFE3CF -:040AE9000923458513 -:040AEA00172300B41A -:040AEB0008A3000458 -:040AEC000A230004D5 -:040AED00CC1C000419 -:040AEE00816365A11A -:040AEF00EF633CB7BE -:040AF00005930EF567 -:040AF1008663100008 -:040AF200EA6336B7C6 -:040AF30045C10AF5FA -:040AF4000CB7886350 -:040AF50008F5E363BA -:040AF6008C63459137 -:040AF700462116B7C7 -:040AF8002CC78B6319 -:040AF900851367D921 -:040AFA00CE362D4780 -:040AFB00E0EFC8164A -:040AFC0042C2B5EF4E -:040AFD00B72146F2E5 -:040AFE00400007931A -:040AFF0007B7DA3E1D -:040B0000DC3E0002D5 -:040B0100D603B72D33 -:040B0200478900A778 -:040B03001F638A7D65 -:040B0400478300F62D -:040B0500C789043464 -:040B06008AE3460533 -:040B0700B5C5F0C7B9 -:040B080007934662A7 -:040B0900F4E30520EC -:040B0A00BDD1F0C7A2 -:040B0B000182A78339 -:040B0C002007F79334 -:040B0D004783CB89C6 -:040B0E0092E3043436 -:040B0F000793ECB7A5 -:040B1000D83E2000AB -:040B11004785B5ED72 -:040B1200EEF613E305 -:040B130004344783DC -:040B14000FD7F7936D -:040B1500EA0795E373 -:040B16000713BDD92B -:040B17008A630400E9 -:040B1800071300E7D8 -:040B190080630800ED -:040B1A0007132CE7AA -:040B1B009BE3020056 -:040B1C000793F6E75E -:040B1D00162330105B -:040B1E00479100F407 -:040B1F006605AC7942 -:040B20002EC78563F4 -:040B210002F6636312 -:040B22004000061376 -:040B23002AC78963F1 -:040B240080078713AC -:040B25002C07066330 -:040B26002000071391 -:040B2700F4E794E378 -:040B280020100793FF -:040B290000F416239B -:040B2A006709AC8526 -:040B2B002CE78263CE -:040B2C0099E36711D1 -:040B2D000793F2E751 -:040B2E00BF7520204F -:040B2F000020063765 -:040B30002EC78263E7 -:040B310002F66E63F7 -:040B3200000406377E -:040B33002CC78663E2 -:040B340000F66D63F7 -:040B3500866366412C -:040B360006372AC78D -:040B370093E3000242 -:040B3800478DF0C72E -:040B390000F416238B -:040B3A000737ACB914 -:040B3B0088630008C3 -:040B3C0007372AE766 -:040B3D0097E300102A -:040B3E000793EEE744 -:040B3F00BFA52030FE -:040B40000100073772 -:040B41002AE78963B3 -:040B420002F76463EF -:040B43000040073730 -:040B440028E78D63AE -:040B450000800737EE -:040B4600ECE796E35F -:040B470020400793B0 -:040B480000F416237C -:040B49000923478DA8 -:040B4A00079300F419 -:040B4B00A4950280EB -:040B4C000200073765 -:040B4D0028E78A63A8 -:040B4E000400073761 -:040B4F00EAE794E35A -:040B500020400793A7 -:040B510000F4162373 -:040B52000923479993 -:040B530047D100F492 -:040B54001623A48937 -:040B550008A30004ED -:040B5600779300C4CD -:040B57004685005778 -:040B580000D78763D8 -:040B59001E63478947 -:040B5A00890900F70E -:040B5B004783C919EA -:040B5C008E6304643C -:040B5D0047891207AB -:040B5E0000F4092373 -:040B5F0007A347851C -:040B60000A6300F430 -:040B610005231C0646 -:040B620057FD0064D7 -:040B630000F31A631E -:040B640005136559B7 -:040B6500E0EF2F0589 -:040B660047919B6FA9 -:040B670000F405236E -:040B680000A405835D -:040B6900470367E5F2 -:040B6A008C230124B3 -:040B6B0047F1C4B7D3 -:040B6C0002F587B354 -:040B6D0097A646956C -:040B6E008A7D4B90A1 -:040B6F0002E6063361 -:040B700002D6063370 -:040B710006400693A1 -:040B7200032606133D -:040B730002D646332D -:040B740000E7D6833D -:040B750002D7073369 -:040B7600869366E517 -:040B7700963AC436B0 -:040B7800824106426E -:040B790000C41B2376 -:040B7A000177C783B5 -:040B7B00F713C23674 -:040B7C008BA101F751 -:040B7D001E07836369 -:040B7E00C68367E5DE -:040B7F004709C42737 -:040B8000736347A1B3 -:040B810047C100D791 -:040B820000234712F3 -:040B830047D200F75E -:040B840085B346F1FE -:040B8500655902D5D7 -:040B860032450513DC -:040B870002F6063339 -:040B880095A6405C92 -:040B890002F65633E7 -:040B8A00E0EFC8329E -:040B8B004792922FCC -:040B8C004583404815 -:040B8D00C703045442 -:040B8E005783000782 -:040B8F004642016475 -:040B90001793C43EB5 -:040B910083C1010516 -:040B92004781C63E93 -:040B93000783C9917A -:040B940046F100A482 -:040B950002D786B34A -:040B96004E9C96A635 -:040B97008B85838542 -:040B98000FF7F793C9 -:040B990047A2C83E69 -:040B9A00054245F9D2 -:040B9B0002B785B365 -:040B9C0057D9814163 -:040B9D0002A5C5B335 -:040B9E00C5B3059541 -:040B9F00478502F58F -:040BA000460395B2C1 -:040BA100F59305942F -:040BA20006130FF532 -:040BA3000662F806E8 -:040BA40095B286611F -:040BA50081C105C243 -:040BA60014F70563D8 -:040BA7000F6347A1F0 -:040BA800059936F77E -:040BA90085C105C23B -:040BAA0046C1478970 -:040BAB004785A28157 -:040BAC0000F4072327 -:040BAD004785B5E1E2 -:040BAE0000F4062326 -:040BAF00051447835F -:040BB00047F1E3A581 -:040BB10002F687B30E -:040BB200D60397A629 -:040BB300079300E7BD -:040BB400E76357702C -:040BB500179304C7C7 -:040BB600536301D7AD -:040BB700478D04F072 -:040BB80000F406A39C -:040BB900092347893C -:040BBA00079300F4A9 -:040BBB00F793FFE7C6 -:040BBC00CF910FD7EF -:040BBD0010634795E5 -:040BBE0047F106F7FE -:040BBF0002F686B301 -:040BC0004AF007935D -:040BC100D70396A61A -:040BC200E66300E600 -:040BC300478304E779 -:040BC400CF9D046459 -:040BC500000406A37F -:040BC600092347892F -:040BC700A82500F469 -:040BC800000406A37C -:040BC9000793B7D9FE -:040BCA0016233010AE -:040BCB00479900F452 -:040BCC000793B7EDE7 -:040BCD0016232010BB -:040BCE00479500F453 -:040BCF004789BFF99A -:040BD00000F41623F4 -:040BD1001863478DD1 -:040BD200478300F75E -:040BD300F7E90464D6 -:040BD4000723478527 -:040BD500478300F45E -:040BD60008A30584E7 -:040BD700B52500F44C -:040BD800102007934F -:040BD90000F41623EB -:040BDA000793B7FDC9 -:040BDB00BB1D2020FE -:040BDC00202007933B -:040BDD0000F41623E7 -:040BDE00B745478D43 -:040BDF002020079338 -:040BE0000793BF5D5B -:040BE1001623202097 -:040BE200479D00F437 -:040BE30000F40923EE -:040BE4000A2347B5E4 -:040BE500B7C100F4A0 -:040BE6002030079321 -:040BE7000793B3219C -:040BE800BFC9203031 -:040BE900203007931E -:040BEA004791B779FF -:040BEB0000F41623D9 -:040BEC0007800793E4 -:040BED000793BFF9B2 -:040BEE00162320406A -:040BEF00479100F436 -:040BF00000F40923E1 -:040BF100B7F147F918 -:040BF2002040079305 -:040BF30000F41623D1 -:040BF40009234795F5 -:040BF50047E100F4E0 -:040BF6004792BF6DF6 -:040BF70000E7802370 -:040BF8000589B53D79 -:040BF90085C105C2EB -:040BFA00469947854C -:040BFB0000D7863366 -:040BFC002205CE639D -:040BFD0000D5853367 -:040BFE00051397AA9A -:040BFF0055630FF03B -:040C0000059300F563 -:040C01008D910FF0D2 -:040C02000FF5F79360 -:040C0300451585BE50 -:040C0400D032CC3AE4 -:040C0500CE36CA3EDF -:040C060087BFD0EFE5 -:040C0700451946F253 -:040C0800D0EF85B6EE -:040C09000593871FA9 -:040C0A0005130800C6 -:040C0B00D0EF0260C4 -:040C0C0047D2865FE6 -:040C0D000513560273 -:040C0E0085B3031097 -:040C0F00F59300C792 -:040C1000D0EF0FF51D -:040C11004762851F92 -:040C120045B147C1E0 -:040C130000F7036380 -:040C1400051345A1DE -:040C1500D0EF0220FA -:040C1600464283DFF0 -:040C1700452245B27B -:040C1800D45FE0EFD6 -:040C190004D44503B7 -:040C1A00E8DFE0EF40 -:040C1B000474450315 -:040C1C00F8634785AD -:040C1D00479200A753 -:040C1E000007C50303 -:040C1F003513156113 -:040C200047E1001593 -:040C210002F50533A0 -:040C2200879367DD70 -:040C2300953E3C4777 -:040C2400897FD0EF05 -:040C250000A407839D -:040C2600458347714A -:040C270087B301246A -:040C280097A602E7A2 -:040C29000167C50397 -:040C2A00F4FFE0EF04 -:040C2B0000C4478337 -:040C2C0000A409A374 -:040C2D00000286B784 -:040C2E000017B713E1 -:040C2F009BF9429C4F -:040C3000C29C8FD9FA -:040C310000C4478331 -:040C32006363470DA4 -:040C3300470516F764 -:040C340016F76263EA -:040C350000E79563DC -:040C36000EA347853D -:040C3700450300F47D -:040C3800478901D413 -:040C390000A7E463C9 -:040C3A00D44FE0EFC4 -:040C3B0000C44703A7 -:040C3C001407146322 -:040C3D0000A4078385 -:040C3E0087B34771C0 -:040C3F0097A602E78B -:040C400000C7D7030F -:040C410037134F9C7A -:040C420047132BD752 -:040C43008B85001786 -:040C4400463797BADE -:040C45000613000290 -:040C460042343806F6 -:040C4700859375F923 -:040C48008B8D7FF51C -:040C490007AE8EED77 -:040C4A00C23C8FD544 -:040C4B0076E9423CC8 -:040C4C00073616FD54 -:040C4D008FD98FF5B7 -:040C4E00D0EFC23CE5 -:040C4F004703E55F13 -:040C500047890414B8 -:040C510000E44483F4 -:040C5200076346816D -:040C5300478300F7DC -:040C54008F8500F494 -:040C55000017B6933B -:040C5600453D458152 -:040C5700D0EFC236E2 -:040C58000513DFCFD2 -:040C5900D0EF059043 -:040C5A004692DB2FB4 -:040C5B0002F5759396 -:040C5C00049AE69977 -:040C5D00F5938DC5B9 -:040C5E00E5930FF516 -:040C5F000513010573 -:040C6000D0EF05903C -:040C61004058DD8F8B -:040C6200019C07B733 -:040C6300CC078793A0 -:040C640002E7D7B319 -:040C650001645703CC -:040C660000D44683ED -:040C67000493666527 -:040C680087B3C28606 -:040C6900470302E754 -:040C6A00070500C4B6 -:040C6B0002E787B362 -:040C6C0000E4470356 -:040C6D0087B307053D -:040C6E00470D02E745 -:040C6F00C2F6242382 -:040C700008E69F6390 -:040C7100C09C83851B -:040C72006559408CF4 -:040C730034C505136C -:040C7400D7DFD0EF07 -:040C75000737409469 -:040C7600071305114A -:040C77004785F407B2 -:040C780000D76B63D3 -:040C7900047877374D -:040C7A008BF70713DA -:040C7B0074634781D6 -:040C7C00478300D7D3 -:040C7D004703010424 -:040C7E0045830504A1 -:040C7F00E709041469 -:040C80000104470321 -:040C810006F704630B -:040C820000F408234F -:040C830050864476DD -:040C8400852E44E68F -:040C85000641011310 -:040C8600F9FFE06F23 -:040C87000325859329 -:040C880085C105C25B -:040C8900069347A1E6 -:040C8A00B3C90200E8 -:040C8B00BBE94581FB -:040C8C00B54D47110A -:040C8D00B555478989 -:040C8E0000D44783C4 -:040C8F0000E44683B4 -:040C9000B79317F50A -:040C91008F95001724 -:040C9200001786932E -:040C9300003737935C -:040C94000017C793EB -:040C9500F79397B684 -:040C960047010FF70C -:040C97004605BD5DF4 -:040C9800F6C694E325 -:040C9900D7B3078A3C -:040C9A00BFB102E7FD -:040C9B0081634789A1 -:040C9C00450302F515 -:040C9D00C1910114EC -:040C9E0046834589BB -:040C9F00460300F414 -:040CA000447604246E -:040CA10044E650864F -:040CA20006410113F3 -:040CA300DBBFE06F64 -:040CA40044765086BC -:040CA500011344E60D -:040CA6008082064101 -:040CA700A70367E553 -:040CA80067E1C30736 -:040CA9006C8787933A -:040CAA00464546ADC8 -:040CAB000167D58385 -:040CAC0004E59F6359 -:040CAD000FF6F693B5 -:040CAE000A63E119DB -:040CAF0011212807E0 -:040CB00064E5C6260B -:040CB100C274C50341 -:040CB2006461C8228F -:040CB3004744079318 -:040CB40000351613DE -:040CB500CA0697B222 -:040CB600C783438C21 -:040CB700475100475A -:040CB8000045A3034D -:040CB90002E7873394 -:040CBA00C2748493E9 -:040CBB004744041393 -:040CBC004339971A07 -:040CBD0002D3636398 -:040CBE0063634331F8 -:040CBF0047AD04D366 -:040CC0000CF680634B -:040CC10008668663D8 -:040CC200470147811E -:040CC3000685A0F111 -:040CC4009DE307891C -:040CC5004681F8C6A6 -:040CC6004783B74564 -:040CC700460D00478F -:040CC80016C79B634D -:040CC90045BD47508E -:040CCA004783421802 -:040CCB009C6300071F -:040CCC00C7811EB608 -:040CCD00F79317FD85 -:040CCE0000230FF7F9 -:040CCF00B7E900F78A -:040CD0000047450391 -:040CD1001575470549 -:040CD2000FF5751392 -:040CD30000A76E63A5 -:040CD400000245379E -:040CD5003C85230334 -:040CD60000F71733D9 -:040CD700FFF74713C9 -:040CD8000067773307 -:040CD9003CE52423AF -:040CDA009C6347359B -:040CDB00E39900E6B3 -:040CDC000005C783C5 -:040CDD00F79317FD75 -:040CDE0096220FF754 -:040CDF0000F60223F6 -:040CE000C703B7612E -:040CE100078500057E -:040CE200E7B39622BC -:040CE300B7FD02E770 -:040CE400157DC5199C -:040CE50000A48023C4 -:040CE600915FD0EF5B -:040CE70067E5B7B551 -:040CE800C207832399 -:040CE9000002473787 -:040CEA003C07278319 -:040CEB009BED450137 -:040CEC003CF720238E -:040CED0040D244426B -:040CEE00016144B2AA -:040CEF00CA4FF06F89 -:040CF00000474783EF -:040CF1008163468D48 -:040CF20046910AD746 -:040CF300F2D79EE3B3 -:040CF4009782471C80 -:040CF500470587AA7E -:040CF6000004C683AD -:040CF700656145D11D -:040CF80096A2068E2C -:040CF900C6834290DC -:040CFA000513004698 -:040CFB0042506A05F4 -:040CFC0002B686B303 -:040CFD00C23AC43EF5 -:040CFE00428C96B2DC -:040CFF00C036464570 -:040D00007F6020EF01 -:040D010047A24712AC -:040D020085BA4682E6 -:040D03008536863E6D -:040D0400821FD0EF8B -:040D05000004C7839C -:040D060044B765E1A8 -:040D0700078E000251 -:040D08004503943ECD -:040D0900464100441B -:040D0A006B4585931D -:040D0B000541051683 -:040D0C0020EF952619 -:040D0D0046037C40DD -:040D0E0047850044D1 -:040D0F0000C797B3CF -:040D10003CF4A623E6 -:040D1100EB09471291 -:040D120046114682BE -:040D13000046C703CC -:040D14000EC715638E -:040D1500C76D46D888 -:040D16000002473759 -:040D17003C8726836C -:040D180024238FD52C -:040D1900A8E93CF712 -:040D1A00C7814B1C26 -:040D1B009782C03AC1 -:040D1C00C783470240 -:040D1D004714000473 -:040D1E00971307859B -:040D1F000633003760 -:040D2000420C00E49D -:040D210000D5846312 -:040D220000060223A2 -:040D2300C31497223C -:040D240000F4802334 -:040D25006963B71136 -:040D2600460902F682 -:040D270004C7886312 -:040D2800433D470CF4 -:040D290000C74503B7 -:040D2A0000D74603A5 -:040D2B000005C78375 -:040D2C0000E7470392 -:040D2D000266916366 -:040D2E0000F67C63EC -:040D2F00F71317FDA2 -:040D300080230FF716 -:040D3100B58900E59B -:040D32008DE34611F6 -:040D3300BD2DE4C727 -:040D34008732F96D9C -:040D3500F863B7FDAB -:040D3600078500E746 -:040D37000FF7F613A9 -:040D380000C580234F -:040D3900FD6DB51582 -:040D3A00BFDD863A59 -:040D3B00453D470CDF -:040D3C0000C7560393 -:040D3D000005D78353 -:040D3E0000E7570370 -:040D3F0000A69E6309 -:040D400000F67763DF -:040D4100971317FDF0 -:040D420083410107E1 -:040D4300C211A01920 -:040D4400902387323F -:040D4500BBCD00E53D -:040D460000E7F4636B -:040D4700B7E5078580 -:040D48004701FA6DF8 -:040D49004683B7FD29 -:040D4A00F563004607 -:040D4B00078500D741 -:040D4C000FF7F69314 -:040D4D0000D70023A8 -:040D4E00460DBBC1D2 -:040D4F00F0C70CE3FA -:040D500040D2444207 -:040D5100450144B262 -:040D5200F06F0161DC -:040D530080828E2FDD -:040D5400F5C10113D1 -:040D5500CF22D106D2 -:040D56001437CD265B -:040D5700C0EF0002E7 -:040D5800C62AC4BF24 -:040D590008040493F3 -:040D5A00D0BC47C5FD -:040D5B000E0420233F -:040D5C00000227B7B3 -:040D5D000007A623C2 -:040D5E000007AA23BD -:040D5F008513678908 -:040D6000C0EF710768 -:040D610066E5B99FEB -:040D6200C3E687134A -:040D63001D100793C5 -:040D640000F7102361 -:040D65004501D0BCB8 -:040D6600894FE0EFE2 -:040D67000003153739 -:040D6800D405051396 -:040D6900B77FC0EFA1 -:040D6A000006263722 -:040D6B00019C05B72B -:040D6C00A8060613BC -:040D6D00CC05859399 -:040D6E000404051361 -:040D6F00F1BFC0EF21 -:040D7000871367E599 -:040D71005783C3E7FA -:040D720045510007E0 -:040D7300F9F7F79302 -:040D740083C107C26E -:040D750000F7102350 -:040D7600C0EFD0BC3E -:040D770045D1B41F8F -:040D780003800513DC -:040D7900F01FE0EF98 -:040D7A00051345D147 -:040D7B00E0EF039012 -:040D7C0045D1EF7FEF -:040D7D00E0EF45510D -:040D7E0045D1EEFF6E -:040D7F000710051341 -:040D8000EE5FE0EF53 -:040D8100051345D140 -:040D8200E0EF05E0B9 -:040D830045D1EDBFAA -:040D840006D005137D -:040D8500ED1FE0EF8F -:040D8600453145D1DD -:040D8700EC9FE0EF0E -:040D8800320005939D -:040D8900E0EF45054D -:040D8A0045D1EBFF65 -:040D8B00E0EF451937 -:040D8C000593EB7F61 -:040D8D0045093200E2 -:040D8E00EADFE0EFC9 -:040D8F00871367E57A -:040D90005783C3E7DB -:040D91004601000710 -:040D9200E79345811D -:040D9300102304071E -:040D9400D0BC00F7D8 -:040D9500040405133A -:040D9600EE3FC0EF7D -:040D9700C0EF45293B -:040D980045E1ABDFA7 -:040D9900D0EF45054D -:040D9A0045E19B0F85 -:040D9B00D0EF450947 -:040D9C0045E19A8F04 -:040D9D00D0EF450D41 -:040D9E00D0EF9A0FE9 -:040D9F0066E5B80F3E -:040DA000C3E687130C -:040DA100CA3A47E122 -:040DA20002F50E63E5 -:040DA3000513655976 -:040DA400D0EF38054F -:040DA50056F98BBFB1 -:040DA60065616659C4 -:040DA7004886061361 -:040DA800051345C525 -:040DA90010EF7005D2 -:040DAA0065D933B024 -:040DAB0046456561F3 -:040DAC0010C5859356 -:040DAD007145051374 -:040DAE0053E020EFFF -:040DAF00F0EF450517 -:040DB000A0019A2FD5 -:040DB100D0EF450139 -:040DB200079398AF5C -:040DB30019630FF0C1 -:040DB400655900F588 -:040DB5003A450513A3 -:040DB600875FD0EF94 -:040DB700BF6D56F5C1 -:040DB8001A8087B75F -:040DB900080787930D -:040DBA006789DEBEA9 -:040DBB00A1A78793D2 -:040DBC0008F1102307 -:040DBD00012347A126 -:040DBE00D0EF08F179 -:040DBF004569B94F7A -:040DC000950FD0EFCC -:040DC1000F557593C2 -:040DC20000A5E59310 -:040DC300D0EF4569BF -:040DC4004585984F7A -:040DC50003500513BF -:040DC60097AFD0EF24 -:040DC7000513655D4E -:040DC800D0EF3C45E7 -:040DC9004501A04FF1 -:040DCA0089BFE0EF0E -:040DCB00051345992E -:040DCC00D0EF03D091 -:040DCD000593960FE5 -:040DCE004545044053 -:040DCF00956FD0EF5D -:040DD00045494585C7 -:040DD10094EFD0EFDC -:040DD200454D4581C5 -:040DD300946FD0EF5A -:040DD400D0EF18E85C -:040DD500450997CF66 -:040DD600FC1FC0EF4F -:040DD7000FF575138C -:040DD800096347CD97 -:040DD900655900F563 -:040DDA003C8505133C -:040DDB00FE0FD0EF48 -:040DDC00B71D56F1F8 -:040DDD00849364E1B6 -:040DDE00C583620463 -:040DDF004515002492 -:040DE000FDBFC0EFA4 -:040DE1000024C783A0 -:040DE20003D00593A2 -:040DE300839D451196 -:040DE400002797133A -:040DE50000C4C783FC -:040DE6008FD99BED19 -:040DE70000F486236B -:040DE800FBBFC0EF9E -:040DE9003E80051330 -:040DEA00973FC0EF80 -:040DEB00451145F574 -:040DEC00FABFC0EF9B -:040DED000300059367 -:040DEE0006100513D3 -:040DEF00F9FFC0EF59 -:040DF0000B2005933C -:040DF100C0EF4525E5 -:040DF2000593F95F0D -:040DF30045290F80FF -:040DF400F8BFC0EF95 -:040DF50003700593EF -:040DF600C0EF452DD8 -:040DF7004581F81F1B -:040DF800C0EF453DC6 -:040DF9004581F79F9A -:040DFA000C90051341 -:040DFB00F6FFC0EF50 -:040DFC000513458115 -:040DFD00C0EF0CA097 -:040DFE004581F65FD6 -:040DFF000CB005131C -:040E0000F5BFC0EF8B -:040E0100051345810F -:040E0200C0EF0CC071 -:040E03004581F51F11 -:040E04000CD00513F6 -:040E0500F47FC0EFC7 -:040E0600051345810A -:040E0700C0EF0CE04C -:040E08004581F3DF4E -:040E09000CF00513D1 -:040E0A00F33FC0EF03 -:040E0B000513458105 -:040E0C00C0EF0D0026 -:040E0D00C583F29F08 -:040E0E000513004484 -:040E0F00C0EF0E1012 -:040E10004601F1DFC7 -:040E110004A00593A1 -:040E120004040513BC -:040E1300CB5FC0EF02 -:040E140045954601B9 -:040E150004040513B9 -:040E1600CE3FC0EF1C -:040E170005934605F4 -:040E1800051304A01A -:040E1900C0EF04041E -:040E1A004585C9BF82 -:040E1B0004040513B3 -:040E1C00CB1FC0EF39 -:040E1D0008600793CF -:040E1E0006F517635B -:040E1F0007500593E0 -:040E200007000513AF -:040E2100F59FC0EF8A -:040E2200090005932B -:040E230002000513B1 -:040E2400F4DFC0EF48 -:040E250005134581EB -:040E2600C0EF021007 -:040E27004581F43FCE -:040E2800022005138C -:040E2900F39FC0EF84 -:040E2A000513458DDA -:040E2B00C0EF0230E2 -:040E2C004581F2FF0B -:040E2D000280051327 -:040E2E00F25FC0EFC0 -:040E2F000B0005931C -:040E3000071005138F -:040E3100F19FC0EF7E -:040E3200070005931D -:040E3300070005139C -:040E3400F0DFC0EF3C -:040E350005136559E3 -:040E3600D0EF3EC5F6 -:040E370067E5E72F55 -:040E380086234705C1 -:040E390067E1C2E7C4 -:040E3A005B87A783A8 -:040E3B0020236765A4 -:040E3C00EB81C2F78D -:040E3D0005136559DB -:040E3E00D0EF3FC5ED -:040E3F0056FDE52F48 -:040E4000D0EFBB61D3 -:040E41006361E0EF1A -:040E420063030793AC -:040E4300851365DDD1 -:040E4400061301E7A9 -:040E4500859304602D -:040E4600C0EF4F8525 -:040E470066E1CE9FF3 -:040E48000593675D4A -:040E490085134C07BA -:040E4A0006136C8699 -:040E4B00C0EF036091 -:040E4C004581CD5FB0 -:040E4D00E0EF453D50 -:040E4E0064E58C8F3C -:040E4F00C2E4C50331 -:040E50006461458113 -:040E51008BAFE0EF94 -:040E5200000245B79E -:040E53003805859346 -:040E5400757941B0BB -:040E55007FF505130D -:040E5600C1B08E6930 -:040E5700756941B0C8 -:040E58008E69157D0D -:040E590041B0C1B033 -:040E5A00879367E132 -:040E5B0076136307A0 -:040E5C006613F1F632 -:040E5D00C1B0060614 -:040E5E00761341B016 -:040E5F0066138FF691 -:040E6000C1B03006E7 -:040E6100450366617E -:040E620032B35E86C3 -:040E630041A800A002 -:040E640065339979E0 -:040E6500C1A80055CB -:040E66005E9445034E -:040E67001293890D4C -:040E680041A8003568 -:040E69006533991D37 -:040E6A00C1A80055C6 -:040E6B0072A141A887 -:040E6C00753312FDCB -:040E6D0062A1005529 -:040E6E000055653393 -:040E6F004589C1A848 -:040E700088A345010D -:040E7100E0EF00B7F7 -:040E72006361FF0FAA -:040E7300000217B7AB -:040E740063030713FA -:040E75000D07A5833D -:040E7600675DC43AB6 -:040E77006661D03AA6 -:040E7800C2E4871336 -:040E79000713D63A4B -:040E7A00C8BA5E860E -:040E7B005E94071367 -:040E7C006765CABA22 -:040E7D00C30707138D -:040E7E006765CC3A9E -:040E7F00C14707134D -:040E80006765CE3A9A -:040E8100000207B7AD -:040E8200071366E10B -:040E83008FEDC187A7 -:040E8400D83AC0B6E2 -:040E85001407926359 -:040E8600871366DD8B -:040E87004481454617 -:040E88006461C83A9F -:040E8900464565D99C -:040E8A0041C5859346 -:040E8B006A040513DD -:040E8C0020EFD23E43 -:040E8D0047C21C40FC -:040E8E000024969313 -:040E8F0096BE656145 -:040E90004645428C05 -:040E91006B45051395 -:040E92001AE020EF53 -:040E930000024637DC -:040E94003C0626836F -:040E9500E693450596 -:040E960020230046CF -:040E9700E0EF3CD676 -:040E98005792BCFFB2 -:040E99001637468141 -:040E9A00250300022A -:040E9B0067410D0698 -:040E9C0075B3177D96 -:040E9D00476200E5C3 -:040E9E000003033713 -:040E9F002603C30C57 -:040EA00047720D0682 -:040EA100FFF64613FF -:040EA200006676333D -:040EA300C985C3102A -:040EA40002F5876369 -:040EA500879367E1E7 -:040EA60096136C87AC -:040EA70097B20014EA -:040EA80065D9EAAD71 -:040EA90000A79023EB -:040EAA0085934645A1 -:040EAB0005134245A4 -:040EAC0020EF6A04C5 -:040EAD0045051440A3 -:040EAE00B75FE0EF5B -:040EAF0047F246853B -:040EB0000007A30391 -:040EB100439C57C245 -:040EB20067C1E39D94 -:040EB30002F31163D2 -:040EB4005782E0BDC4 -:040EB50003600613BD -:040EB6008593D21A34 -:040EB70047864C0717 -:040EB800851344ED6D -:040EB900C0EF6C8793 -:040EBA005312B1DF3F -:040EBB0057424689CB -:040EBC00460947E2BA -:040EBD000067202387 -:040EBE00439CD43647 -:040EBF0004C6806382 -:040EC00005136509A8 -:040EC100D23E7105A7 -:040EC200E12FC0EF6D -:040EC30056A257924A -:040EC400D783BF9978 -:040EC5004689000753 -:040EC600FAF583E3D3 -:040EC700464565D95E -:040EC80042C5859307 -:040EC9006A0405139F -:040ECA000CE020EF29 -:040ECB00E0EF45050A -:040ECC004681AFFFAD -:040ECD0014F9B769F4 -:040ECE00BF5546893D -:040ECF0046E9048567 -:040ED000EE96D1E3E6 -:040ED100D0EF453DDC -:040ED2004737BD1FC2 -:040ED300278300026F -:040ED4009BED3C074F -:040ED5003CF72023A3 -:040ED60064E147B2DA -:040ED700D51364616A -:040ED800079300A7D5 -:040ED90007B312C089 -:040EDA00655902F55F -:040EDB0043C50513F3 -:040EDC00D0EFC2BED3 -:040EDD006659BDAFE6 -:040EDE0005A0071351 -:040EDF00061346812F -:040EE00045C5474677 -:040EE1007004851301 -:040EE200658010EF28 -:040EE300464565D942 -:040EE40076C58593B7 -:040EE500714405133C -:040EE600A6BFC0EFF4 -:040EE700E0EF4505EE -:040EE800A537CC3F1F -:040EE90005130007E6 -:040EEA00C0EF12053E -:040EEB00C0EFD70F6E -:040EEC004799DB0F38 -:040EED00D202D43E1B -:040EEE00DA02D00252 -:040EEF00CEA2CCA61D -:040EF000000217B72E -:040EF1000D07A783BF -:040EF200674146E22C -:040EF3008F7D177D5B -:040EF400C693C29847 -:040EF5000737FFF7C5 -:040EF6008F750003F1 -:040EF700D61346F2D6 -:040EF80064650187A5 -:040EF9000A23C2986E -:040EFA0066E5C2C423 -:040EFB00041387B2A3 -:040EFC008693C344D2 -:040EFD00CA19C356F5 -:040EFE0076131679D8 -:040EFF00458D0FF618 -:040F000000C5F663CF -:040F01000006C6031D -:040F020000F615637D -:040F030020234662FF -:040F04008023000640 -:040F050057C200F6D9 -:040F0600EFBD439C5C -:040F0700C39857C272 -:040F0800849364E585 -:040F0900C783C134A5 -:040F0A00C7DD00043B -:040F0B00C78347A2AF -:040F0C00C3DD01C779 -:040F0D00676547A22B -:040F0E00C26747036C -:040F0F0000B7C783DD -:040F1000F7938FD9EB -:040F1100EBC90FF722 -:040F1200D3AFC0EFAA -:040F13006563479635 -:040F1400571208F573 -:040F15000630079308 -:040F160008E7E063A5 -:040F17000004C68389 -:040F180047054785BD -:040F190000F68763F4 -:040F1A00871357A240 -:040F1B003733FFA7C2 -:040F1C0047A200E008 -:040F1D00C78346A19F -:040F1E0017FD01C7F3 -:040F1F000FF7F7933E -:040F200002F6EA6388 -:040F2100869366D974 -:040F2200078A78863C -:040F2300439497B6A6 -:040F2400879367E563 -:040F25008682C407F5 -:040F2600C39857C253 -:040F2700A02347F2CA -:040F2800BFBD000742 -:040F290047036765AE -:040F2A003733C11781 -:040F2B00070900E0D2 -:040F2C0000E7802337 -:040F2D00572257925E -:040F2E00F7930785A9 -:040F2F00D23E0FF7A8 -:040F30000763479973 -:040F3100079300F72B -:040F3200F79300171A -:040F3300D43E0FF7A2 -:040F3400C8EFC0EF53 -:040F3500D03E4785DE -:040F360007310793E5 -:040F3700DEBE64E1D5 -:040F380073848793A4 -:040F39000197871382 -:040F3A0065D9C13A7A -:040F3B0001A7871370 -:040F3C00461DC33A51 -:040F3D0001B787135E -:040F3E00780585931A -:040F3F0018C807F1D6 -:040F4000C73EC53AA9 -:040F410009A3C93EF9 -:040F4200C0EF0601F5 -:040F430047A28F9F93 -:040F44007384869399 -:040F4500C783C63662 -:040F4600468501C714 -:040F4700C83647253C -:040F480000E78663D5 -:040F4900F79307858E -:040F4A00C83E0FF797 -:040F4B00438C47E2AA -:040F4C004603C9810E -:040F4D0065590004DE -:040F4E0049850513B9 -:040F4F00A10FD0EF2F -:040F5000438C47F295 -:040F51006559C59980 -:040F5200051381C141 -:040F5300D0EF4AC5CC -:040F540047E29FEFE2 -:040F550045E94681A3 -:040F560047864398EF -:040F57006C87879389 -:040F58000007D603B5 -:040F590004E61A632D -:040F5A00E76347E919 -:040F5B00675960D79B -:040F5C000026979341 -:040F5D007AC7071335 -:040F5E00439C97BA5F -:040F5F0046858782BA -:040F60006761E719C5 -:040F61005D874683DF -:040F62000016B6932C -:040F63008023069150 -:040F6400B70D00D7EE -:040F6500DF65469965 -:040F66000007C68337 -:040F6700471DBFCD96 -:040F68006765BF01F9 -:040F6900C127470352 -:040F6A0000E0373339 -:040F6B00B70907219A -:040F6C00FF71469932 -:040F6D00BFE1468515 -:040F6E0082E307898A -:040F6F00068536B607 -:040F70004785B745B5 -:040F710046D24752CB -:040F7200000757031A -:040F73001007471309 -:040F740000E69023E0 -:040F750066C1477298 -:040F76008EF9431895 -:040F770047C2C2911A -:040F7800000206B7B6 -:040F7900CF118F7590 -:040F7A0046054732AF -:040F7B004703468161 -:040F7C0065630007A2 -:040F7D00070500E67E -:040F7E000FF7769360 -:040F7F0000234732D2 -:040F8000666500D7CB -:040F8100C802472932 -:040F8200C406061388 -:040F830000E786639A -:040F840000F6002350 -:040F8500C83E478596 -:040F8600475247D2B5 -:040F87000007D78305 -:040F880007C29BCD34 -:040F8900102383C1ED -:040F8A00676500F7A0 -:040F8B00C267468370 -:040F8C00C26707131E -:040F8D00EA89DC3AD7 -:040F8E004703676549 -:040F8F00070AC24744 -:040F900047528FD95C -:040F910000F7102332 -:040F9200D70347D268 -:040F930017B7000785 -:040F9400A023000294 -:040F950057E20EE72A -:040F96000007C78306 -:040F97004501C799B0 -:040F9800C3CFF0EFE4 -:040F9900861367E56F -:040F9A0047A2C4079F -:040F9B000006470302 -:040F9C0001C7C7833F -:040F9D0000E7846382 -:040F9E00E79947C2C6 -:040F9F00CF9D578209 -:040FA000C78347A21A -:040FA100CB9D00B72D -:040FA200C78367E5B5 -:040FA300D03EC2D7A3 -:040FA40067E1C795A5 -:040FA500694787937E -:040FA600C50397BA2E -:040FA70057B2000736 -:040FA800C783D00229 -:040FA9008B6300074F -:040FAA0057B200A793 -:040FAB0080234581D9 -:040FAC00D0EF00A7DB -:040FAD0067E5B4DF61 -:040FAE00C4078613DB -:040FAF00478347220B -:040FB000646500066E -:040FB10001C747032A -:040FB200C42404133C -:040FB30000F71F63C1 -:040FB400000446836C -:040FB500796347090C -:040FB600473224D7C3 -:040FB7000347468323 -:040FB8004703472282 -:040FB9008163052724 -:040FBA0064E524E6E0 -:040FBB00C414869341 -:040FBC00C40480A346 -:040FBD000004002309 -:040FBE00DE36470DC7 -:040FBF0024F777E3B9 -:040FC00046854732E9 -:040FC1000347470398 -:040FC20020D71EE333 -:040FC300FFA785936C -:040FC4000FF5F5939D -:040FC5007463448D80 -:040FC600106F00B7F1 -:040FC70085932B8063 -:040FC800F513FFF727 -:040FC90045A10FF53A -:040FCA0002A5E16338 -:040FCB00859365DDC8 -:040FCC0095AA37C5E6 -:040FCD000005C683D2 -:040FCE00DA36459931 -:040FCF0022B787E3DB -:040FD00020F5E9E33C -:040FD1008EE34585E1 -:040FD200635D20B784 -:040FD30039830593C6 -:040FD40097AE078A43 -:040FD5006559438C8B -:040FD6005985051321 -:040FD700C0EFC6BAE7 -:040FD80067E5FEFFCC -:040FD900C4078613B0 -:040FDA0047834722E0 -:040FDB0005A3000664 -:040FDC000E230007D9 -:040FDD00C0EF00F76A -:040FDE00635DA85F48 -:040FDF003983071338 -:040FE0004736C4BA12 -:040FE1007593478934 -:040FE20014E30D8582 -:040FE300E5931EF77D -:040FE40045050015AA -:040FE500C6AED0BA0A -:040FE60087FFC0EFD2 -:040FE700450945B6BD -:040FE800877FC0EF50 -:040FE900450D45B6B7 -:040FEA0086FFC0EFCF -:040FEB0065595706E7 -:040FEC005B45051349 -:040FED00C0EF85BA12 -:040FEE00C0EFF97FD8 -:040FEF00C0EFAD5F43 -:040FF00067E5FD8F25 -:040FF100C2C7C78329 -:040FF2004585C385E9 -:040FF300009595B31D -:040FF4000405E59378 -:040FF5000FF5F5936C -:040FF600C6AE451925 -:040FF700801FC0EFA8 -:040FF800451D45B698 -:040FF900FF8FC0EFB7 -:040FFA00448357F2E3 -:040FFB004581000428 -:040FFC000007C70320 -:040FFD00E6634789D7 -:040FFE009593009730 -:040FFF0005E20064A3 -:04100000179385E1DC -:0410010016930047FB -:041002008FD500275F -:041003008DDD8FD917 -:041004000FF5F5935C -:04100500C0EF45658E -:04100600454187DFFA -:04100700835FC0EF54 -:04100800478D575267 -:041009000F85759347 -:04100A0000F7146374 -:04100B000055E59314 -:04100C00C0EF4541AB -:04100D004789861F6A -:04100E001697F5E359 -:04100F00059347916D -:04101000F463052060 -:0410110005930097AC -:041012004539053027 -:04101300847FC0EF27 -:041014003E80051302 -:041015008C6FC0EF2D -:04101600C0EF455191 -:041017001793FF6FBD -:0410180087E10185E6 -:04101900D76384AA6B -:04101A00771302073F -:04101B007793008542 -:04101C0019E30FF5D0 -:04101D0065D910077A -:04101E00370585937A -:04101F000207F7933A -:04102000100797E33B -:0410210006136659F3 -:04102200655937C60F -:041023005CC5051390 -:04102400EBDFC0EF4F -:041025000104F79338 -:041026008891CF815D -:041027000E049EE332 -:04102800859365D96E -:04102900655937C509 -:04102A005E850513C7 -:04102B00EA1FC0EF09 -:04102C00478D575243 -:04102D00036345C153 -:04102E00458100F701 -:04102F00C0EF456168 -:041030004581FD4FAA -:041031000360051340 -:04103200FCAFC0EF60 -:0410330005136559E3 -:04103400C0EF4705BD -:0410350047A2E7BF28 -:0410360046454726BE -:041037000007A223E9 -:0410380001C7C783A2 -:0410390097BA078AD1 -:04103A0047E6438CB6 -:04103B0070078513A2 -:04103C00307010EF11 -:04103D0065D947F634 -:04103E00851346458B -:04103F0085937147DD -:0410400010EF62C586 -:0410410045052F50E2 -:04104200F58FE0EF57 -:04104300CF8947C248 -:04104400C70367E592 -:0410450047A9C1C72F -:0410460000F7156337 -:04104700D0EF453D64 -:04104800C0EFDF8F87 -:04104900D20283CF7D -:04104A00C70347B2DF -:04104B0047A202377F -:04104C000417C7833B -:04104D0002F707633C -:04104E00468147A2EE -:04104F00C50346018E -:0410500045810117BE -:04105100F03FD0EFAD -:04105200C50347B2D9 -:04105300E0EF023791 -:0410540047B2868F8A -:04105500C7834722E4 -:041056002223023718 -:0410570000A30007EB -:0410580047B204F7A0 -:041059000237C70390 -:04105A00056347895A -:04105B0047B204F79D -:04105C000247C583FF -:04105D00C78347A25C -:04105E008D63042773 -:04105F00655902B716 -:04106000638505138C -:04106100DC9FC0EF61 -:04106200C50347A2D9 -:0410630047B2011778 -:041064000237C58307 -:041065004589C19167 -:04106600C68347A254 -:0410670047B200F795 -:041068000247C60372 -:04106900EA3FD0EF9B -:04106A00472247B220 -:04106B000247C783EE -:04106C0004F7012361 -:04106D00C70347B2BC -:04106E0047A203474B -:04106F000527C78307 -:0410700000F70D6315 -:0410710005136559A5 -:04107200C0EF64C5A2 -:0410730047B2D83F69 -:04107400C7834722C5 -:041075000923034701 -:0410760047C604F76E -:04107700000246B776 -:041078000007C703A3 -:04107900363347D6ED -:04107A00A70300E0E8 -:04107B00C7833C06E5 -:04107C008B050007D9 -:04107D0000E618630E -:04107E003C06A68303 -:04107F008A8D828D47 -:0410800002D78C63A4 -:04108100000246B76C -:041082003806869313 -:041083008B8D42B857 -:041084009B79078EBF -:04108500C2B88F510D -:041086009B1D42B8B4 -:04108700C2BC8FD97F -:04108800C78357E2E1 -:04108900CB89000708 -:04108A00A02347E276 -:04108B00C0EF0007AB -:04108C004505A7FF70 -:04108D00868FF0EF6B -:04108E00C78347A22B -:04108F00E39901C719 -:0410900071F0006F8C -:041091000004440310 -:04109200879367E1F8 -:0410930027376A078A -:0410940043140002FF -:041095000006C4632A -:04109600FFE517FD5E -:04109700C0EF455110 -:041098004791DF2F6E -:041099007687F863FB -:04109A00088575133D -:04109B00F7850513BD -:04109C0000153613F2 -:04109D00C28347A221 -:04109E008A6300B7AA -:04109F0027B700026D -:0410A000A283000225 -:0410A100D2930007DF -:0410A200F2930102C2 -:0410A30005130032FF -:0410A400DE320370C5 -:0410A500C0EFDC16A6 -:0410A60084AADBAF8E -:0410A70003800513AA -:0410A800DB0FC0EFAB -:0410A900842A6785A9 -:0410AA00F007879331 -:0410AB008D7D052210 -:0410AC0067B34722BD -:0410AD00C83E0095A4 -:0410AE0000645793F0 -:0410AF0005138B8515 -:0410B00004A3039002 -:0410B100C0EF00F795 -:0410B200C4AAD8AF45 -:0410B30003A005137E -:0410B400D80FC0EFA2 -:0410B50027B7C6AAE9 -:0410B600439C000255 -:0410B700470952E2B1 -:0410B800F79383C95E -:0410B90056727FF7F5 -:0410BA008A630785B9 -:0410BB00472270E276 -:0410BC0000B747032F -:0410BD0046C2E7112F -:0410BE0018F007130C -:0410BF0070D7716312 -:0410C0009A634705E3 -:0410C100C83E6EE2D5 -:0410C200DC024742C3 -:0410C3001907369340 -:0410C4000016C693B9 -:0410C5006F80006FC9 -:0410C600B46D478935 -:0410C700B45D478D40 -:0410C800B44D47914B -:0410C900BC79479512 -:0410CA00BC6947991D -:0410CB00BC59479D28 -:0410CC00BC4947A133 -:0410CD00B47947A506 -:0410CE000713676538 -:0410CF004683C2672B -:0410D0004637000798 -:0410D100B7930002CF -:0410D20000230016E1 -:0410D300971300F778 -:0410D4002783002747 -:0410D5009BED3C064D -:0410D60020238FD96B -:0410D70057B23CF6DA -:0410D8000007C70343 -:0410D90087A367E59D -:0410DA009F63C2E767 -:0410DB00C0EF360626 -:0410DC00450593FF34 -:0410DD00F29FE0EFAF -:0410DE00B4A947A9C1 -:0410DF0000022737AD -:0410E000D69343045C -:0410E100F79300B4CD -:0410E200DC3E0016DA -:0410E30067654340BA -:0410E400C267470395 -:0410E5000432F37569 -:0410E60000C4579358 -:0410E7003C000613B0 -:0410E80045374581C2 -:0410E900DE3E0002E5 -:0410EA00A96FC0EF3B -:0410EB00458557B22E -:0410EC000007C50331 -:0410ED00E4AFD0EFAD -:0410EE000613665926 -:0410EF0045C14BC6E6 -:0410F000000245377E -:0410F10061D000EFDB -:0410F20057B2676129 -:0410F30072874603B7 -:0410F400000245377A -:0410F5000007C683A7 -:0410F60072870713E3 -:0410F7006759E6014E -:0410F800F7C707131C -:0410F900061366591B -:0410FA0045C1F846AE -:0410FB0000EF0541BC -:0410FC0047A25F3078 -:0410FD0000B7C7036E -:0410FE004437CB6147 -:0410FF00665900022C -:041100004C86061300 -:04110100051345C1CC -:0411020000EF0204F4 -:0411030047A25D7032 -:04110400665946F1F1 -:0411050000A78703B5 -:04110600F88606134E -:04110700073345C1A4 -:0411080066E102D7C3 -:04110900780686934B -:04110A0003040513C2 -:04110B0000EF96BAA1 -:04110C0066595B3095 -:04110D004D860613F2 -:04110E00051345C1BF -:04110F0000EF0404E5 -:04111000F7935A30C7 -:0411110086937FF44E -:0411120057E2001789 -:0411130000B4D6133B -:0411140096B3D6A612 -:041115008A0500F651 -:0411160005040513B4 -:04111700071396BE66 -:04111800E219069042 -:0411190007000713B1 -:04111A000003063791 -:04111B0007938E6543 -:04111C00E21902A032 -:04111D000200079332 -:04111E00C63755F289 -:04111F000613A0EE25 -:041120005633B0068C -:04112100059302B67A -:0411220044B7064088 -:041123007333000220 -:04112400563302B686 -:04112500C21A02B632 -:04112600C03245C1CD -:0411270006136659EC -:0411280000EF4E8600 -:04112900665953F0C0 -:04112A005006061352 -:04112B00851345C122 -:04112C0000EF0604C6 -:04112D0056F252F034 -:04112E0006136659E5 -:04112F0045C151065F -:041130000704851318 -:0411310051D000EFAA -:04113200000244373C -:0411330006136659E0 -:0411340045C151461A -:041135000804051392 -:04113600509000EFE6 -:0411370007136659DB -:04113800468105A047 -:041139005206061341 -:04113A00051345C193 -:04113B0000EF0904B4 -:04113C0007934F3096 -:04113D0043B8380477 -:04113E00002767130C -:04113F002623C3B8E8 -:04114000477D3C04A7 -:04114100C7B8C3F870 -:0411420047D2BD854E -:04114300D7834752B5 -:04114400C793000746 -:04114500102301076B -:04114600BDB900F738 -:04114700468547B2E0 -:04114800C783470111 -:04114900E563000753 -:04114A00078500F61F -:04114B000FF7F71390 -:04114C00802347B203 -:04114D0067E500E76B -:04114E00C267C7832A -:04114F006461E7B13F -:04115000140404136C -:041151004641400CC7 -:04115200000245371B -:041153006AA010EF8F -:04115400C70347B2D4 -:04115500481C00072B -:0411560097BA070A33 -:041157004641438C3E -:041158000002443716 -:041159000204051374 -:04115A0068E010EF4A -:04115B003C042783A6 -:04115C000027E793EE -:04115D003CF420231B -:04115E003C04262304 -:04115F002223478D73 -:0411600024233CF414 -:04116100BBCD3C04C2 -:04116200C78367E5F3 -:041163009713C277A5 -:0411640067E1003708 -:0411650047478793DE -:04116600676197BA6C -:04116700AC070713B7 -:041168009BE3439C26 -:04116900C0EFDCE710 -:04116A00B3F9F06F76 -:04116B00468547B2BC -:04116C00C7834701ED -:04116D00E56300171F -:04116E00078500F6FB -:04116F000FF7F7136C -:0411700080A347B25F -:0411710067E500E747 -:04117200C267C78306 -:041173006461FFD5DF -:041174001404041348 -:0411750008C4258302 -:041176004537464172 -:0411770010EF000273 -:0411780047B2618099 -:041179000017C70391 -:04117A0009C42783FA -:04117B0047B2B7B50B -:04117C00C783475985 -:04117D009063006714 -:04117E00C78104E63B -:04117F00F79317FDCE -:0411800047320FF7EC -:0411810000F703234D -:04118200C78367E5D3 -:04118300FFADC26793 -:04118400041364618B -:04118500484C1404BA -:041186004537464162 -:0411870010EF000263 -:0411880047325D800D -:041189004503505C6E -:04118A0097820067E1 -:04118B00464165E193 -:04118C006B45859397 -:04118D0046B9B73573 -:04118E00F363873E42 -:04118F00473900F6E6 -:04119000070547B256 -:0411910000E78323CD -:0411920065D9B7C1A3 -:041193004645656107 -:0411940052C5859328 -:041195006A050513CF -:0411960059E010EF1D -:0411970065D9646151 -:0411980085934645B0 -:04119900051353C522 -:04119A0010EF6B44A3 -:04119B00473758C0BA -:04119C0027830002A3 -:04119D0045053C07C1 -:04119E00E79364E18E -:04119F0020230047C2 -:0411A000D0EF3CF759 -:0411A1008493FABF7A -:0411A20017B77804FF -:0411A300A70300029C -:0411A40067C10D070B -:0411A5008F7D17FD26 -:0411A600879367E1E3 -:0411A70046816C878A -:0411A800D583466D38 -:0411A90006630007D2 -:0411AA00068500B7FF -:0411AB009AE3078933 -:0411AC0047A2FEC692 -:0411AD0085834715DA -:0411AE0047F100A75E -:0411AF0002F587B30B -:0411B0004BDC97A6D7 -:0411B100756383F5EA -:0411B200655902F782 -:0411B3005485051347 -:0411B40087DFC0EF22 -:0411B500832367E544 -:0411B6004737C207EE -:0411B7002783000288 -:0411B8009BED3C0768 -:0411B9003CF72023BC -:0411BA00E0EF45011C -:0411BB00B169976F10 -:0411BC00456347112F -:0411BD00093804D712 -:0411BE004703973E0E -:0411BF005733FDC7DE -:0411C0008B0540D784 -:0411C100078ACB09C5 -:0411C20097BA093897 -:0411C300FE47A783B9 -:0411C40000D78023AD -:0411C5006659B7C1EF -:0411C6000613068581 -:0411C70045C556C6FE -:0411C8006B4405135C -:0411C9002BD000EF38 -:0411CA00D0EF450518 -:0411CB00A537F03F15 -:0411CC000513000700 -:0411CD00B0EF120568 -:0411CE00BF699E5FF8 -:0411CF008BE347B1B6 -:0411D0006509F8F6BF -:0411D100710505138C -:0411D2009D3FB0EF9E -:0411D30047A2BF3D33 -:0411D400646146719B -:0411D50000A7878365 -:0411D600780407137F -:0411D7007804041381 -:0411D80002C7863391 -:0411D900466597329E -:0411DA00016747035F -:0411DB0008C6996346 -:0411DC00C701467D84 -:0411DD007613177DF1 -:0411DE0046F10FF7D0 -:0411DF0002D786B3FA -:0411E00096A2676507 -:0411E10000C68B2396 -:0411E2000B23468510 -:0411E3006765C4D7A1 -:0411E400C577470381 -:0411E50000E79B6321 -:0411E60087B3477113 -:0411E70097A202E7E2 -:0411E8000167C703D1 -:0411E900972367E5FC -:0411EA0067E5C4E70A -:0411EB00C267C7838D -:0411EC0067E1EFA91F -:0411ED00D1C7A5833E -:0411EE0045374641FA -:0411EF0010EF0002FB -:0411F00047A243804F -:0411F10006934771A9 -:0411F2008783465059 -:0411F300665900A792 -:0411F400DD060613FB -:0411F50002E787B3D3 -:0411F600943E45C519 -:0411F70001644783C5 -:0411F8000513646116 -:0411F90087B36B4409 -:0411FA00069302D77F -:0411FB00C6B3064031 -:0411FC0000EF02D727 -:0411FD0046411EF059 -:0411FE006B440593A6 -:0411FF0046F9B39565 -:04120000ECE34601D4 -:041201000705F6E601 -:0412020067E5B7BD28 -:04120300C277C78364 -:041204000037971305 -:04120500879367E183 -:0412060097BA474705 -:041207000713676101 -:04120800BBBDA807BB -:0412090043014485D4 -:04120A0065D967E15A -:04120B006A078513D6 -:04120C00859346453B -:04120D00DC1A57C5CB -:04120E003BE010EFC2 -:04120F0046A9536237 -:0412100006B3479545 -:04121100873602D347 -:0412120000D7D363CB -:0412130064614715B6 -:0412140007256659EB -:0412150058C606139E -:04121600051345C5B2 -:04121700DC1A6B442E -:04121800181000EFBB -:041219000002473751 -:04121A003C072783E3 -:04121B00E79345050B -:04121C002023004744 -:04121D00D0EF3CF7DB -:04121E001737DB7F24 -:04121F002283000224 -:0412200067410D070E -:04122100177D87A608 -:04122200F4B353626C -:04122300EF9900E25D -:0412240067E1CC9121 -:041225006C87871338 -:04122600478146EDC9 -:041227000007560363 -:0412280000C48D630E -:041229000709078525 -:04122A00FED79AE36E -:04122B000513650939 -:04122C00DC1A710552 -:04122D00867FB0EF19 -:04122E004725B7C9D0 -:04122F0000E78863E9 -:0412300013634711EC -:04123100472500034A -:0412320002E7DA6392 -:0412330007854729BB -:0412340002E7E7B333 -:0412350002E303339A -:04123600979A6765B7 -:04123700C2F707A350 -:04123800BE4FD0EFE6 -:0412390066D9C901A8 -:04123A00360686935B -:04123B0006136659D7 -:04123C00B535F88646 -:04123D00869366D955 -:04123E00BFCDDA86C0 -:04123F009563476903 -:04124000431300E76D -:04124100B70D0013D2 -:0412420091E34731BC -:04124300B3D9FAE73A -:04124400C70367E590 -:0412450047C2C26773 -:04124600CA0706636A -:0412470047A9BCB146 -:04124800CB4FF06F29 -:04124900F9E34595EB -:04124A0045890AF5D3 -:04124B0000B718636D -:04124C00FFA787135E -:04124D000FF777130D -:04124E000AE6F0E3D9 -:04124F00470956F203 -:04125000802344852E -:04125100F06F00E654 -:04125200448DDD8F5B -:04125300F06F4705EC -:04125400459DDD0FC8 -:0412550000B78D63EE -:0412560000234589A3 -:04125700F06F00B480 -:041258000023DECFC2 -:04125900F06F00F43E -:04125A00458DDE4F91 -:04125B004595B7FD01 -:04125C001513B7EDC2 -:04125D008DC90057E0 -:04125E000FF5F59300 -:04125F000045E593CE -:04126000E12FF06F1B -:04126100859365D933 -:04126200F06F36856E -:041263006659EF2FAA -:0412640037860613B0 -:04126500EF6FF06FC8 -:04126600859365D92E -:04126700F06F378568 -:041268000593F08F6B -:04126900453905B04E -:04126A00EEBFB0EF34 -:04126B003E800513A9 -:04126C00F6AFB0EF3A -:04126D00B0EF455148 -:04126E008909E9BF42 -:04126F006559C901F3 -:04127000608505137D -:04127100D88FC0EF63 -:04127200EE8FF06F9C -:0412730005136559A1 -:04127400BFCD618504 -:04127500761347891C -:04127600F8630FF515 -:041277007513008764 -:04127800051309054C -:04127900F06FF70516 -:04127A00551388BFC1 -:04127B0076130016D0 -:04127C00F06F0015FA -:04127D007413883F1F -:04127E0007E302047C -:04127F00474290044E -:041280000C8736930E -:04128100C6934705C4 -:04128200DC3A00163C -:041283006461472239 -:0412840063040413E8 -:0412850000B74483E7 -:04128600071367657E -:04128700DE3AC5671F -:04128800071367657C -:041289009963C1079D -:04128A0000632E04CB -:04128B008E636A06FE -:04128C000683680667 -:04128D00458D000784 -:04128E008D9565597C -:04128F006685051358 -:04129000D096D2BE64 -:04129100D08FC0EF4B -:04129200871367E572 -:041293000683C10706 -:041294004609000700 -:041295005796528690 -:041296002AD65C6395 -:04129700002346A248 -:0412980047050007FF -:0412990000E685A343 -:04129A0047364491FE -:04129B0005136505CD -:04129C001693F005B0 -:04129D004726008759 -:04129E0045428EE94E -:04129F0047228ED97B -:0412A000031363656C -:0412A1004310C3C370 -:0412A2000593435815 -:0412A300EE630016E0 -:0412A400059300A509 -:0412A500EA630015E3 -:0412A600186300C504 -:0412A70045A200D785 -:0412A800C583556243 -:0412A90085630085D4 -:0412AA0045C262A532 -:0412AB00C0166559AB -:0412AC0069050513B8 -:0412AD00C0EFC4B614 -:0412AE0067E5C96FB8 -:0412AF00831346A6B9 -:0412B0000023C3C78D -:0412B10047B200033D -:0412B2000197C703D6 -:0412B300C78347A204 -:0412B4001763037742 -:0412B50047B20EF737 -:0412B60001A7C703C2 -:0412B700C78347A200 -:0412B8001F63038726 -:0412B90047B20CF735 -:0412BA0001B7C703AE -:0412BB00C78347A2FC -:0412BC00176303971A -:0412BD0047B20CF731 -:0412BE0001C7C7039A -:0412BF00C78347A2F8 -:0412C0001F6303A7FE -:0412C10047B20AF72F -:0412C20001D7C70386 -:0412C300C78347A2F4 -:0412C400176303B7F2 -:0412C50047B20AF72B -:0412C6000147C70312 -:0412C700C78347A2F0 -:0412C8001F63032776 -:0412C90047B208F729 -:0412CA000157C703FE -:0412CB00C78347A2EC -:0412CC00176303376A -:0412CD0047B208F725 -:0412CE000167C703EA -:0412CF00C78347A2E8 -:0412D0001F6303474E -:0412D10047B206F723 -:0412D2000177C703D6 -:0412D300C78347A2E4 -:0412D4001763035742 -:0412D50047B206F71F -:0412D6000187C703C2 -:0412D700C78347A2E0 -:0412D8001F63036726 -:0412D90067E104F7CE -:0412DA0073878793FC -:0412DB000277C703CC -:0412DC0004544783EC -:0412DD0004F7166399 -:0412DE00879367E1AA -:0412DF00C703738747 -:0412E00047830287B7 -:0412E1001D63046421 -:0412E20067E102F7C7 -:0412E30073878793F3 -:0412E4000337C70302 -:0412E5000514478322 -:0412E60002F7146394 -:0412E700879367E1A1 -:0412E800C70373873E -:0412E900478303A78D -:0412EA001B630584F9 -:0412EB0067E100F7C0 -:0412EC0073878793EA -:0412ED0003B7C70379 -:0412EE000594478399 -:0412EF0000F7096398 -:0412F00087A647097D -:0412F10000E4F363BF -:0412F200F4934789A1 -:0412F30047B20FF7F8 -:0412F4000257C703D3 -:0412F500C78347A2C2 -:0412F6000B6304374B -:0412F70047A202F711 -:0412F8008783477130 -:0412F900873300A790 -:0412FA0067E102E7BF -:0412FB007807879356 -:0412FC004B9C97BAB6 -:0412FD0020D00713E3 -:0412FE00F79383954A -:0412FF0099637FF779 -:04130000470900E7B2 -:04130100F36387A665 -:04130200478900E433 -:041303000FF7F49359 -:04130400C70347B222 -:0413050047830267B1 -:041306000A6304442E -:04130700078302F75F -:04130800477100A485 -:0413090002E787333D -:04130A00879367E17D -:04130B0097BA78070E -:04130C0007134B9CDC -:04130D0083951C1098 -:04130E007FF7F793DB -:04130F0000E79963F7 -:0413100087A647095C -:0413110000E4F3639E -:04131200F493478980 -:0413130087B70FF792 -:04131400439C0002F4 -:0413150001D44703B5 -:041316008BBD83ED1B -:0413170000F709636F -:0413180087A6470954 -:0413190000E4F36396 -:04131A00F493478978 -:04131B0057F20FF77F -:04131C000007C7837C -:04131D000703C7D922 -:04131E00457100A471 -:04131F00073367E148 -:04132000859302A708 -:041321004603780700 -:04132200430301245C -:04132300972E0454A9 -:0413240045954B0898 -:041325000533897D86 -:04132600053302C5C4 -:04132700059302B573 -:041328000513064063 -:041329004533032520 -:04132A00558302B530 -:04132B00063300E79E -:04132C00959302B6DD -:04132D0081C1010673 -:04132E0005429532AD -:04132F001B238141BA -:04133000460100A4CE -:04133100000305634D -:0413320082054F10D1 -:04133300C4B68A05AD -:041334008D4FD0EF1A -:0413350000A4070306 -:0413360067E14671B4 -:0413370002C70733AF -:041338007807879318 -:0413390001244583C3 -:04133A00C50397BA96 -:04133B00D0EF016787 -:04133C0009A3B08FC2 -:04133D0046A600A41C -:04133E00E09187A60D -:04133F00F493478557 -:0413400047C20FF79A -:04134100C01CC054B8 -:04134200042357E247 -:04134300A0B100F461 -:0413440000230685F7 -:04134500BB9100D781 -:041346009863C219CD -:0413470005833A06DA -:0413480065590007DC -:0413490067C505135C -:04134A00D4B605957B -:04134B00D096D2BEA8 -:04134C00A1CFC0EF7E -:04134D00871367E5B6 -:04134E000603C107CA -:04134F0055F100074D -:0413500057965286D4 -:041351005D6356A6DC -:04135200002336B688 -:041353004722000726 -:0413540005A3449118 -:041355009AE3000710 -:0413560047B2D006C4 -:041357000007C703C1 -:04135800C78347A25E -:041359001D6301E728 -:04135A0047B210F78F -:04135B000017C703AD -:04135C00C78347A25A -:04135D00156301F71C -:04135E0047B210F78B -:04135F000027C70399 -:04136000C78347A256 -:041361001D630207FF -:0413620047B20EF789 -:041363000037C70385 -:04136400C78347A252 -:0413650015630217F3 -:0413660047B20EF785 -:041367000067C70351 -:04136800C78347A24E -:041369001D630247B7 -:04136A0047A20CF793 -:04136B00859346150B -:04136C0047B202671B -:04136D00008785135D -:04136E005EB000EF7E -:04136F0047A2E1713F -:041370008593461902 -:0413710047B202B7C6 -:0413720000D7851308 -:041373005D7000EFBA -:0413740047B2E9454E -:041375000047C70363 -:04137600C78347A240 -:0413770011630227D5 -:0413780047B20AF777 -:041379000057C7034F -:04137A00C78347A23C -:04137B0019630237B9 -:04137C0047B208F775 -:04137D000077C7032B -:04137E00C78347A238 -:04137F00116302579D -:0413800067E108F722 -:041381007387879354 -:0413820001F7C703A5 -:0413830003D44783C5 -:0413840006F71863ED -:04138500879367E102 -:04138600C70373879F -:04138700478302078F -:041388001F6303E4F8 -:0413890067E104F71D -:04138A00738787934B -:04138B000217C7037B -:04138C0003F447839C -:04138D0004F71663E8 -:04138E00879367E1F9 -:04138F00C703738796 -:041390004783022766 -:041391001D630404D0 -:0413920067E102F716 -:041393007387879342 -:0413940001E7C703A3 -:0413950003C44783C3 -:0413960002F71463E3 -:04139700879367E1F0 -:04139800C70373878D -:04139900478303671C -:04139A001B63054488 -:04139B0067E100F70F -:04139C007387879339 -:04139D000357C70328 -:04139E000534478348 -:04139F0000F70763E9 -:0413A000E09187A6AB -:0413A100F4934785F5 -:0413A20047B20FF748 -:0413A300C783472293 -:0413A400470302A752 -:0413A500C83E0487B3 -:0413A60002F70663E1 -:0413A700B0EF45411D -:0413A80047C29B3F5E -:0413A9009593891D72 -:0413AA008DC90037B2 -:0413AB000FF5F593B2 -:0413AC00B0EF454118 -:0413AD0047C29E1F76 -:0413AE000513655965 -:0413AF0085BE6F0583 -:0413B00088CFC0EF33 -:0413B100C58347B2F7 -:0413B20047A202B795 -:0413B3000497C78351 -:0413B40000B7866395 -:0413B50003D0051349 -:0413B6009BBFB0EF3A -:0413B700C58347B2F1 -:0413B800478302C79E -:0413B900856304A4A0 -:0413BA00454500B7EE -:0413BB009A7FB0EF76 -:0413BC00470347B2EA -:0413BD00C58304E4FC -:0413BE00C7830307D7 -:0413BF001663031797 -:0413C000470300B728 -:0413C1000B6304F4C2 -:0413C200454900F7A2 -:0413C300B0EFC83E81 -:0413C40047C2985F25 -:0413C50085BE454D4F -:0413C60097BFB0EF2E -:0413C700C50347B261 -:0413C80047830297BE -:0413C90084630474C1 -:0413CA00478502A7AA -:0413CB0000A7F8631C -:0413CC00C50367E509 -:0413CD001561C437AB -:0413CE0000153513BE -:0413CF00053347E1BA -:0413D00067DD02F5DE -:0413D1003C4787937B -:0413D200B0EF953EA5 -:0413D30047B29DDFA1 -:0413D40002F7C50354 -:0413D50004D4478372 -:0413D60000A7846385 -:0413D700F99FC0EFCB -:0413D800C50347B250 -:0413D900478302D76D -:0413DA00846304B470 -:0413DB00D0EF00A7A8 -:0413DC0067E1854FF1 -:0413DD0073878793F8 -:0413DE0002E7C783D8 -:0413DF0004C44703F8 -:0413E0000763C63E9B -:0413E100456902F761 -:0413E2008C9FB0EF3D -:0413E300751347B285 -:0413E4009593FCF5EC -:0413E5008DC9004767 -:0413E6000FF5F59377 -:0413E700B0EF4569B5 -:0413E80047B28F5F1A -:0413E900051365592A -:0413EA0085BE7085C7 -:0413EB00FA1FB0EF46 -:0413EC00079367619B -:0413ED0007137387E8 -:0413EE004583738739 -:0413EF00C78303D7D6 -:0413F000470303C7E5 -:0413F100166305A4D6 -:0413F200470300F7B6 -:0413F3000A6305B4D0 -:0413F400058E00B7AB -:0413F500F5938DDD02 -:0413F60005130FF5D7 -:0413F700B0EF0280D1 -:0413F80067E18B5FBF -:0413F90073878793DC -:0413FA0003E78793EB -:0413FB00461D853EC8 -:0413FC0005C405938C -:0413FD003AF000EFD3 -:0413FE00879367E189 -:0413FF00C501776746 -:04140000B0EF853E86 -:0414010066E18CDF35 -:0414020073868793D3 -:041403000377C703A1 -:0414040005544783C1 -:0414050000F71A636F -:0414060073868793CF -:041407000387C7038D -:0414080005644783AD -:0414090002F705637E -:04140A00879367E17C -:04140B00C703738719 -:04140C00C63A0237A3 -:04140D00F62FB0EF17 -:04140E0005134581FC -:04140F00B0EF0CE04E -:041410004732F1CF9F -:0414110004634789A0 -:04141200C0EF00F730 -:0414130067E5B98F41 -:04141400C2C7C78301 -:0414150067E1C7952F -:0414160073878793BE -:041417000397C583EF -:04141800057447838D -:0414190000B78E6327 -:04141A00058615D15D -:04141B000FF5F59341 -:04141C00C62E45058E -:04141D00F68FB0EFA7 -:04141E00450945B285 -:04141F00F60FB0EF25 -:04142000859367E168 -:0414210006137387B4 -:04142200051304604A -:04142300B0EF01E441 -:0414240057F2D74F55 -:041425000007802319 -:04142600816347890E -:04142700479112F4E3 -:0414280004F48C63D9 -:041429009C634785F4 -:04142A0047830AF4F6 -:04142B00CBC500B479 -:04142C0005136559E6 -:04142D00B0EF74C5E3 -:04142E00B0EFE97FB3 -:04142F00A045ED5F88 -:041430000023167D02 -:04143100448100C72B -:041432000023B17969 -:04143300BFE500070A -:0414340000034783E7 -:0414350088E34705FC -:0414360007859EE7A1 -:041437000FF7F79321 -:0414380000F300239A -:041439009EE791E3B6 -:04143A0087A6470931 -:04143B0000E4F36373 -:04143C00F493478955 -:04143D00BAC10FF72A -:04143E0000B447832C -:04143F006559C7BD67 -:0414400072450513D9 -:04144100E49FB0EF85 -:0414420007136765C0 -:041443005783C3E721 -:041444006409000730 -:041445007104051316 -:041446000027E79301 -:0414470000F7102377 -:041448000002173750 -:041449000EF7202357 -:04144A00FF3FA0EFD1 -:04144B00455945C5F5 -:04144C00F62FB0EFD8 -:04144D00710405130E -:04144E00FE3FA0EFCE -:04144F00455D458929 -:04145000F52FB0EFD5 -:04145100710405130A -:04145200FD3FA0EFCB -:04145300F80FD0EFCF -:04145400879367E132 -:04145500C5037387D1 -:04145600D0EF02379A -:04145700051385CF25 -:04145800A0EF12C02F -:04145900E06FFB9FA6 -:04145A006559A5BF6C -:04145B0073050513FD -:04145C00DDDFB0EF31 -:04145D000004222342 -:04145E00917FB0EFDB -:04145F0001C44783FA -:041460004645656137 -:0414610000279713B6 -:04146200879367DD28 -:0414630097BA398774 -:041464000513438C9D -:0414650000EF70051F -:0414660065D926100E -:041467004645656130 -:0414680062C5859341 -:0414690071450513B1 -:04146A0024F000EF7B -:04146B00D0EF450574 -:04146C00A0EFEB2FD3 -:04146D00D402FADFCC -:04146E00B755D2029A -:04146F0000B44783FB -:041470006559DFD902 -:0414710073C5051327 -:04147200D85FB0EFA0 -:04147300F00FD0EFB7 -:041474004715B779E8 -:04147500F6F76463BF -:04147600470144895D -:04147700D43FE06F0F -:04147800F7C10113A4 -:04147900C13ED6A6F4 -:04147A0067E1DA86C6 -:04147B00DCB6D8A261 -:04147C00A483DEBAAD -:04147D00DC6361C704 -:04147E0007930005CB -:04147F00C09C08B055 -:0414800050D6557D70 -:0414810054B65446C3 -:041482000841011309 -:0414830007938082C9 -:041484001823208089 -:04148500C22A00F186 -:04148600842ECA2ABC -:04148700C19947813F -:04148800FFF5879352 -:04148900CC3EC63E51 -:04148A0057FD18B43E -:04148B008526004C66 -:04148C0000F119232F -:04148D002465C036DC -:04148E00556357FD4E -:04148F00079300F5CA -:04149000C09C08B044 -:041491004792DC5D45 -:0414920000078023AC -:0414930087AABF5D08 -:041494000007C70383 -:04149500FF6D07855B -:0414960040A78533B3 -:041497008082157DBD -:04149800A783C5C59C -:041499001141FFC539 -:04149A00C606C4229C -:04149B00FFC58413F2 -:04149C000007D3630F -:04149D00C02A943E8F -:04149E000BB000EFA0 -:04149F0027836765D3 -:0414A0004502C60734 -:0414A100EB91863A0B -:0414A20000042223FD -:0414A300C6872023B5 -:0414A40040B24422EC -:0414A500006F014192 -:0414A6007F6309F067 -:0414A700401400F4F9 -:0414A80000D4073332 -:0414A90000E796635F -:0414AA0043DC439844 -:0414AB00C018973698 -:0414AC002023C05CDD -:0414AD00BFE9C68647 -:0414AE0043DC873E56 -:0414AF007DE3C3997D -:0414B0004314FEF4EF -:0414B10000D7063327 -:0414B20000861F632E -:0414B30096B240109D -:0414B4000633C31424 -:0414B5009DE300D7DC -:0414B6004390FAC79E -:0414B70096B243DCCA -:0414B800C35CC3143A -:0414B9007563B7752B -:0414BA0047B100C472 -:0414BB00B74DC11C4C -:0414BC0006B3401023 -:0414BD00966300C46E -:0414BE00439400D77C -:0414BF0096B243DCC2 -:0414C000C05CC01438 -:0414C100B769C34004 -:0414C20011418082D2 -:0414C3008493C22626 -:0414C40098F1003566 -:0414C500C422C60671 -:0414C600473104A105 -:0414C700FC6387AA91 -:0414C80044B104E443 -:0414C90004B4EB6319 -:0414CA00C03E853E5D -:0414CB00007000EFBE -:0414CC0026836765A7 -:0414CD004782C60785 -:0414CE00C607061334 -:0414CF00E43184364A -:0414D0000413646538 -:0414D1004018C644B5 -:0414D200853EEB0167 -:0414D300C03E458151 -:0414D40079C000EFEC -:0414D500C008478282 -:0414D60085A6853E24 -:0414D70000EFC03E24 -:0414D800577D78E0E4 -:0414D90016634782CD -:0414DA00473106E5AB -:0414DB00853EC398EF -:0414DC007C4000EF61 -:0414DD00D7E3A02988 -:0414DE004731FA0494 -:0414DF004501C39868 -:0414E000442240B2B0 -:0414E10001414492EF -:0414E20040188082AC -:0414E3004F638F05BF -:0414E40045AD020709 -:0414E50000E5F663C5 -:0414E600943AC0185C -:0414E700A029C00474 -:0414E8009363405872 -:0414E900C21802869D -:0414EA0000EF853E4C -:0414EB00051378A0CD -:0414EC00079300B4AE -:0414ED0099610044BD -:0414EE0040F507338B -:0414EF00FCF502E323 -:0414F0008F89943A12 -:0414F100BF6DC01CEF -:0414F200BFF9C2D8A4 -:0414F300404086A24D -:0414F4000413B7BD69 -:0414F50098710035B5 -:0414F600FC8502E38C -:0414F70040A405B355 -:0414F800C03E853E2F -:0414F900577D2721D3 -:0414FA0019E3478229 -:0414FB00BFB5FAE59A -:0414FC00C2321111D6 -:0414FD00C826459028 -:0414FE00CA22CC062C -:0414FF00E46384AE70 -:0415000082AA08C6ED -:0415010000C5D50349 -:04150200480577130E -:0415030048D0CB3DC4 -:041504004080470DCF -:0415050002C70733DF -:041506004609498CBD -:0415070040B407B332 -:041508004433C03E6A -:04150900861302C77C -:04150A00963E0016F3 -:04150B0000C4736342 -:04150C00751384329D -:04150D00C636400599 -:04150E008516C53544 -:04150F00C41685A2D7 -:04151000ECBFF0EF4D -:0415110046B242A2FA -:04151200CD2547316B -:04151300488C4602B8 -:04151400C42AC636E9 -:041515009AEFB0EFAA -:0415160000C4D60334 -:0415170046B2432273 -:04151800B7F6761399 -:041519000806661347 -:04151A0000C4962350 -:04151B00A823478238 -:04151C00C8C00064DF -:04151D000733933EBF -:04151E00A02340F4D2 -:04151F0086360064A8 -:04152000F363C49815 -:04152100863600C644 -:041522004088459226 -:04152300B0EFC03233 -:04152400449898AFA0 -:041525004501460234 -:04152600C4988F11C5 -:04152700963A409818 -:04152800A80DC090BA -:04152900862285167B -:04152A002571C4164D -:04152B0046B242A2E0 -:04152C00FD4D832AC4 -:04152D008516488C4B -:04152E00F0EFC01604 -:04152F004282DA7F9B -:04153000A02347317C -:04153100D70300E2FA -:04153200557D00C41F -:04153300040767132F -:0415340000E4962316 -:04153500445240E2FA -:04153600017144C239 -:04153700D7038082D4 -:04153800011300C5D6 -:04153900C122F78153 -:04153A000793DEA68F -:04153B00C30601F1F1 -:04153C00C02EC22AD1 -:04153D000807771311 -:04153E00F41384B26C -:04153F00CB1DFF873A -:04154000EB0D4998CE -:04154100040005930A -:04154200F0EFC636CA -:041543004782E01FDC -:04154400C38846B260 -:04154500E919CB884D -:0415460047B1471250 -:04154700C31C557DEF -:04154800440A409A77 -:04154900011354F640 -:04154A008082088112 -:04154B0007134782B9 -:04154C00CBD80400F4 -:04154D00020007137E -:04154E0000E40CA306 -:04154F00030007137B -:0415500000042A2346 -:0415510000E40D2382 -:041552008726CA36E8 -:041553000250061329 -:0415540000074683C3 -:041555009663C2993E -:0415560006B30AC608 -:041557000163409755 -:04155800458202972F -:04155900862645128B -:04155A00C636C83A8F -:04155B00E85FF0EF66 -:04155C000A63567D4B -:04155D0048501AC513 -:04155E00474246B208 -:04155F00C8509636A4 -:0415600000074683B7 -:041561001A06816382 -:0415620000170493D7 -:041563002023577D6D -:041564002623000436 -:04156500C058000466 -:041566000004242336 -:04156700040401A3D4 -:0415680004042C2328 -:041569000004C58332 -:04156A00461567E1DA -:04156B009E878513BF -:04156C00401423A55F -:04156D0000148713CC -:04156E00F613E53952 -:04156F00C6090106A2 -:04157000020006135C -:0415710004C401A30A -:041572000086F613E6 -:041573000613C6098C -:0415740001A302B01D -:04157500C58304C462 -:041576000613000454 -:041577008F6302A0DC -:04157800445402C510 -:0415790045818726FB -:04157A0042A9452518 -:04157B00000746031C -:04157C00001703133E -:04157D00FD0606134E -:04157E0006C57763C4 -:04157F00C454C98502 -:041580000705A03586 -:0415810067E1B7B1B6 -:041582009E878613A7 -:0415830046058D117B -:0415840000A6153375 -:04158500C0148EC937 -:04158600B76984BA03 -:041587000593465230 -:0415880042100046C7 -:041589004963CA2EBA -:04158A00C450020641 -:04158B00000746030C -:04158C0002E00693E0 -:04158D0006D611630A -:04158E0000174603F9 -:04158F0002A006931D -:0415900002D61B6301 -:04159100070946D22E -:041592000046861376 -:04159300CA32429482 -:041594000206C16327 -:04159500A081C0541D -:0415960040C0063318 -:041597000026E693B1 -:04159800C014C45067 -:0415990086B3B7E17D -:04159A00871A025654 -:04159B0096B245853A -:04159C0056FDBFB584 -:04159D000705B7C5C2 -:04159E000004222300 -:04159F0046814581BB -:0415A00042A94525F2 -:0415A10000074603F6 -:0415A2000017031318 -:0415A300FD06061328 -:0415A40006C57363A2 -:0415A5004583F1E1A8 -:0415A60064E10007F5 -:0415A7008513460D55 -:0415A800C63A9F049C -:0415A9004732299507 -:0415AA008493CD0158 -:0415AB008D059F0407 -:0415AC00040006939E -:0415AD0000A696B34B -:0415AE0007054008E5 -:0415AF00C0088D558E -:0415B0000007458368 -:0415B1004619656111 -:0415B2009F45051339 -:0415B3000017049386 -:0415B40000B40C2350 -:0415B500C13521918A -:0415B6000000071317 -:0415B7004014E70DE8 -:0415B800F69347520D -:0415B900CE911006B9 -:0415BA00CA3A071111 -:0415BB0047A24858A3 -:0415BC00C858973E36 -:0415BD0086B3BD999B -:0415BE00871A025630 -:0415BF0096B2458516 -:0415C0000721B751F7 -:0415C1004602B7DD4A -:0415C20066D5451293 -:0415C30086930858AB -:0415C40085A23F06B7 -:0415C500000000978B -:0415C600000000E73A -:0415C70047A2C42A49 -:0415C80095E3577DD3 -:0415C9004782FCE772 -:0415CA00D703557D71 -:0415CB00771300C7CB -:0415CC0017E3040716 -:0415CD004848DE07A5 -:0415CE004602B3E539 -:0415CF0066D5451286 -:0415D000869308589E -:0415D10085A23F06AA -:0415D200BFC9221556 -:0415D30087AE1101CD -:0415D40085B6CA26E8 -:0415D500479484BAF9 -:0415D600CC224B9840 -:0415D700842ACE068E -:0415D80053638332A4 -:0415D900873600D77A -:0415DA0000E32023E7 -:0415DB000437C68388 -:0415DC000705C681B8 -:0415DD0000E32023E4 -:0415DE0077134398A4 -:0415DF00C711020727 -:0415E00000032703DA -:0415E10020230709B3 -:0415E200439800E347 -:0415E30001978613D3 -:0415E400C3358B1967 -:0415E500C6834398DE -:0415E600771304373C -:0415E70036B302070E -:0415E800E75100D0F7 -:0415E900043786132A -:0415EA00C41A852278 -:0415EB00C02EC23E0E -:0415EC00577D948211 -:0415ED0006E50763A5 -:0415EE0043224792BB -:0415EF00439445825A -:0415F00000032603CB -:0415F1008A9943117F -:0415F200470147C89E -:0415F3000066976394 -:0415F40040C50733B4 -:0415F5000007536335 -:0415F60047944701CE -:0415F70054634B905E -:0415F8008E9100D6FA -:0415F90043019736DD -:0415FA0001A78613AC -:0415FB00066710630C -:0415FC00A80D4501F0 -:0415FD0047D40705C3 -:0415FE0000032503BE -:0415FF005BE38E8993 -:041600004685F8D74C -:04160100C81A85225C -:04160200C43AC63EE2 -:04160300C02EC23201 -:0416040056FD948279 -:0416050046124582C2 -:0416060047B247227E -:041607001BE343425C -:04160800557DFCD53B -:04160900446240F205 -:04160A00610544D260 -:04160B008633808220 -:04160C00051300D7EB -:04160D0001A3030032 -:04160E00C60304A665 -:04160F0087130457E2 -:04161000973E0016EB -:0416110001A30689A2 -:04161200BFA904C7A1 -:041613008522468561 -:04161400C43AC61AF4 -:04161500C02EC232EF -:0416160057FD948266 -:04161700FCF503E3F8 -:0416180047224332F0 -:0416190045824612AE -:04161A00B7490305C4 -:04161B00CC221101CB -:04161C00CE06CA2606 -:04161D00842EC02A2D -:04161E0004358513F7 -:04161F000185C583F9 -:04162000C23284B698 -:0416210007800693A5 -:0416220000B6EC63BF -:041623000620069304 -:0416240000B6ED63BC -:041625001C058C63B1 -:0416260005800693A2 -:0416270012D58D63E8 -:041628000424031380 -:0416290004B40123E1 -:04162A008693A805F6 -:04162B00F693F9D564 -:04162C0046550FF61A -:04162D00FED666E39C -:04162E00068A666161 -:04162F00A2460613B6 -:04163000429496B298 -:041631004314868256 -:041632000424031376 -:0416330000468613D4 -:041634004298C31005 -:0416350004E40123A5 -:04163600AA75470545 -:041637002303401435 -:04163800F61300079E -:041639000593080607 -:04163A00C20D00439A -:04163B0000032683FF -:04163C006661C30C14 -:04163D000006D86368 -:04163E0002D00713BC -:04163F0040D006B3DE -:0416400004E401A31A -:041641009FC6061327 -:04164200A0B94729DB -:041643000406F61390 -:0416440000032683F6 -:04164500DE71C30C83 -:0416460086C106C291 -:041647004010BFD9B7 -:041648007293431442 -:0416490083130806F9 -:04164A00866300466D -:04164B002023000256 -:04164C00429400675D -:04164D007613A80167 -:04164E00202304064B -:04164F00DA750067E1 -:041650000006D68337 -:0416510007136661B4 -:04165200061306F085 -:0416530087639FC644 -:0416540047290EE52F -:04165500040401A3E5 -:04165600C40C404C34 -:041657000005C8635F -:041658000004230364 -:04165900FFB3731355 -:04165A0000642023E5 -:04165B00832AE29963 -:04165C00832ACD9977 -:04165D0002E6F5B3F9 -:04165E0095B2137DB1 -:04165F000005C5833A -:0416600000B30023B0 -:04166100D6B385B6C1 -:04166200F5E302E6C4 -:0416630046A1FEE5B9 -:0416640000D71E632A -:041665008B05401899 -:041666004054CB1110 -:041667004763481875 -:04166800071300D78D -:041669000FA30300C8 -:04166A00137DFEE30B -:04166B00406505339E -:04166C004692C808D2 -:04166D008726450285 -:04166E0085A2081039 -:04166F00F0EFC41ABA -:04167000577DD8FFCB -:041671001C63432291 -:04167200557D0CE5B1 -:04167300446240F29B -:04167400610544D2F6 -:0416750066618082A8 -:0416760004B402A313 -:041677009FC60613F1 -:041678002303400CFC -:04167900F2930007E1 -:04167A0026830805B6 -:04167B000311000354 -:04167C0002028D6376 -:04167D0000672023BF -:04167E000015F71349 -:04167F00E593C70127 -:04168000C00C020593 -:04168100F6B947412E -:04168200F593400C90 -:04168300C00CFDF5A5 -:041684004014B791C6 -:041685000206E693E0 -:041686000693C014F3 -:041687006661078011 -:0416880004D402A3E1 -:04168900A10606139D -:04168A00F293BF65B3 -:04168B00202304050F -:04168C0083E300678D -:04168D0006C2FC0293 -:04168E00BF7D82C1D9 -:04168F00BF19472117 -:0416900043144010AF -:041691007293484CBC -:0416920083130806B0 -:041693008763004623 -:04169400202300020D -:041695004298006710 -:04169600A809C30CD0 -:0416970000672023A5 -:0416980004067613BB -:04169900DA6D42982C -:04169A0000B7102362 -:04169B0000042823FC -:04169C00B781832A65 -:04169D00458143142C -:04169E000046861369 -:04169F00A303C310CE -:0416A00040500006B0 -:0416A100C41A851AC8 -:0416A2004322284176 -:0416A3000533C50145 -:0416A400C048406595 -:0416A500C8184058C9 -:0416A600040401A394 -:0416A7004814BF190B -:0416A8004502459220 -:0416A9009482861A87 -:0416AA0000E3577D85 -:0416AB004018F2E50C -:0416AC00EB0D8B09AE -:0416AD004448474224 -:0416AE00F0E55AE326 -:0416AF00B739853A88 -:0416B0004502459218 -:0416B100C63A46856A -:0416B2009482C43228 -:0416B3000EE356FDEF -:0416B4004732EED5F6 -:0416B50007054622BD -:0416B60045C2445491 -:0416B70041E38E8DF0 -:0416B800BFC9FED7D1 -:0416B90006134701CC -:0416BA00B7FD0194E3 -:0416BB00C2221151E5 -:0416BC00842AC02696 -:0416BD00852E64E52D -:0416BE00AE23C4068D -:0416BF002275C404C8 -:0416C000166357FD59 -:0416C100A78300F506 -:0416C200C391C5C447 -:0416C30040A2C01C65 -:0416C4004482441206 -:0416C50080820131ED -:0416C6000FF5F59394 -:0416C7001463962AE8 -:0416C800450100C513 -:0416C9004783808251 -:0416CA008DE30005A7 -:0416CB000505FEB75C -:0416CC008082B7FD64 -:0416CD00E5818082B1 -:0416CE00F06F85B282 -:0416CF001131FD0FC9 -:0416D000C622C80660 -:0416D100EA11C42630 -:0416D200F18FF0EFB5 -:0416D30040C2478149 -:0416D40044A24432B6 -:0416D5000151853EFC -:0416D600842E80825C -:0416D70084AAC032EF -:0416D8004602203D69 -:0416D90074E387A28D -:0416DA0085B2FEC512 -:0416DB00C23285266C -:0416DC00F9AFF0EF83 -:0416DD00DD6187AA9A -:0416DE0085A2461289 -:0416DF00A0EFC02A8E -:0416E00085A2A85FD8 -:0416E100F0EF85267B -:0416E2004782EDAF9F -:0416E300A783B7C959 -:0416E4008513FFC5A6 -:0416E500D563FFC703 -:0416E60095AA0007BA -:0416E700953E419C4F -:0416E80047018082B4 -:0416E90000E61463A0 -:0416EA0080824501B4 -:0416EB0000E507B35C -:0416EC0086B30705B5 -:0416ED00C78300E5CA -:0416EE00C6830007A8 -:0416EF0083E3FFF69C -:0416F0008533FED769 -:0416F100808240D7DC -:0416F200167DC60D8E -:0416F30007B34701F1 -:0416F40086B300E5D4 -:0416F500C78300E5C2 -:0416F600C6830007A0 -:0416F70094630006F2 -:0416F800156300D79F -:0416F900853300C76E -:0416FA00808240D7D3 -:0416FB00F3E5070507 -:0416FC004501BFDD08 -:0416FD0087AA8082B6 -:0416FE00C703CA094B -:0416FF000585000558 -:041700008FA3078527 -:04170100167DFEE76C -:04170200963EFB65AF -:0417030000C7936325 -:041704000785808253 -:04170500FE078FA3A9 -:0417060095AABFD50C -:04170700856387AAC5 -:04170800C70300B75C -:04170900E7010007ED -:04170A0040A785333C -:04170B00078580824C -:04170C000113B7FD11 -:04170D00D6A2F8C1A7 -:04170E00D886D4A6FF -:04170F00843284AAF2 -:0417100000065C6310 -:0417110008B0079382 -:04171200557DC11C24 -:04171300543650C632 -:04171400011354A6C3 -:041715008082074186 -:041716002080079395 -:0417170000F11623A4 -:04171800C82EC02EE9 -:041719004781863648 -:04171A00C01986BAB2 -:04171B00FFF407933D -:04171C00CA3EC43EBF -:04171D0057FD858A65 -:04171E0017238526E2 -:04171F00F0EF00F1F6 -:0417200057FD861FCC -:0417210000F5556317 -:0417220008B0079371 -:04172300DC5DC09C2D -:041724008023478255 -:04172500BF5D00079D -:04172600873667E1BA -:04172700862E86B2D2 -:04172800A50385AAE6 -:04172900F06F61C735 -:04172A0063E5F8DF9C -:04172B00C683A78347 -:04172C00C222115173 -:04172D00842AC40640 -:04172E00C683839358 -:04172F004501E79DEC -:0417300046014581A8 -:0417310047014681A5 -:041732000D600293B1 -:04173300000000733F -:041734001A6357FDE0 -:04173500283500F55E -:04173600C11C47B1DA -:0417370040A2557DFA -:041738000131441225 -:04173900A0238082E7 -:04173A00A30300A362 -:04173B0045810003E1 -:04173C00053346012A -:04173D00468100647D -:04173E004781470197 -:04173F000D600293A4 -:041740000000007332 -:0417410018E3941AFB -:04174200A023FC855F -:04174300851A00A360 -:0417440067E1B7F9A9 -:0417450061C7A503D0 -:04174600000080829D -:04174700000000009E -:04174800000000009D -:04174900000000009C -:04174A00000000009B -:04174B000001049005 -:04174C0000010496FE -:04174D000001049CF7 -:04174E00000104A2F0 -:04174F00000104444D -:04175000000104642C -:04175100000104642B -:04175200000104642A -:041753000001046429 -:041754000001046428 -:041755000001046427 -:041756000001046822 -:041757000001046E1B -:041758006C206F4E44 -:04175900006B6E694A -:04175A006D20642575 -:04175B000000005634 -:04175C00252E75259C -:04175D002075322E93 -:04175E00000073759F -:04175F0025257525A2 -:041760000000000085 -:041761006C2075255E -:0417620073656E69D4 -:041763000000000082 -:041764007020752557 -:041765006C657869CE -:04176600000000730C -:0417670000007525E4 -:041768006420642570 -:04176900000000423A -:04176A00656E6F44F5 -:04176B00000000007A -:04176C006C696146FD -:04176D002820646567 -:04176E0000296425C5 -:04176F0000010C4A1F -:0417700000010C6404 -:0417710000010C6EF9 -:0417720000010CA0C6 -:0417730000010C7CE9 -:041774006420642564 -:0417750000006765A4 -:04177600322E252EBC -:0417770000000075F9 -:041778006F625F68D5 -:0417790072656472BF -:04177A007525203A77 -:04177B005F68202C57 -:04177C005F74706FB7 -:04177D0072617473AE -:04177E0066666F74B8 -:04177F0025203A7374 -:0417800000000A75E6 -:041781003A53544340 -:04178200756C25203D -:041783000000000A58 -:041784006F636552D8 -:041785006769666EBC -:041786006E697275A1 -:041787004C5020673B -:041788006F74204C0E -:041789006E6F6320FC -:04178A002067696605 -:04178B00000A7525B6 -:04178C0061766E69AB -:04178D002064696CFF -:04178E0072746E659E -:04178F0000000A79D3 -:0417900074696E49C1 -:04179100666E6F63AE -:0417920064206769FF -:0417930020617461FC -:04179400746972778B -:04179500206E6574E9 -:04179600207525286D -:04179700657479629A -:04179800000A2973A7 -:041799006573753CC3 -:04179A0000003E64A9 -:04179B00666F7250B3 -:04179C0020656C69EF -:04179D00642075252A -:04179E0020617461F1 -:04179F007469727780 -:0417A000206E6574DE -:0417A1002075252862 -:0417A200657479628F -:0417A300000A29739C -:0417A4004452535503 -:0417A500004154416A -:0417A60075206F4EED -:0417A7006472657390 -:0417A80020617461E7 -:0417A9006E756F6684 -:0417AA006E6F2064DA -:0417AB00746E6520D3 -:0417AC002520797209 -:0417AD0000000A75B9 -:0417AE0074696E49A3 -:0417AF00666E6F6390 -:0417B00076206769CF -:0417B1006973726581 -:0417B20025206E6F11 -:0417B30075252E75F5 -:0417B400656F6420D9 -:0417B5006F6E2073C0 -:0417B600616D2074CD -:0417B70020686374CF -:0417B8007272756371 -:0417B90020746E65C5 -:0417BA000A656E6FDF -:0417BB00000000002A -:0417BC006420435210 -:0417BD0020617461D2 -:0417BE00646165728B -:0417BF007525282044 -:0417C00074796220B6 -:0417C1000A29736519 -:0417C2000000000023 -:0417C300666F72508B -:0417C40020656C69C7 -:0417C5007372657660 -:0417C600206E6F69B9 -:0417C700252E752531 -:0417C8006F642075B5 -:0417C9006E207365B6 -:0417CA006D20746FAB -:0417CB00686374617A -:0417CC0072756320AF -:0417CD00746E65725F -:0417CE00656E6F20B5 -:0417CF000000000A0C -:0417D000666F72507E -:0417D10020656C69BA -:0417D20064207525F5 -:0417D30020617461BC -:0417D4006461657275 -:0417D500752528202E -:0417D60074796220A0 -:0417D7000A29736503 -:0417D800000000000D -:0417D9006E6B6E5570 -:0417DA00206E776F97 -:0417DB00726573754B -:0417DC00617461646F -:0417DD00746E6520A1 -:0417DE00000A797212 -:0417DF00706D653C88 -:0417E000003E7974DA -:0417E100203A752510 -:0417E200000073256B -:0417E30020534854F3 -:0417E4002046504CFF -:0417E500756C617648 -:0417E60065732065A2 -:0417E7006F74207487 -:0417E8002578302010 -:0417E90000000A787A -:0417EA0069726F4869 -:0417EB00746E6F7A2F -:0417EC0073206C6199 -:0417ED006C706D614E -:0417EE00746172654B -:0417EF006573206599 -:0417F0006F7420747E -:0417F1000A75252030 -:0417F20000000000F3 -:0417F300697473455D -:0417F4006574616D4A -:0417F50043502064D9 -:0417F600485F4B4CB1 -:0417F7003A4C4C50CC -:0417F800756C2520C7 -:0417F900332E252E38 -:0417FA004D20756C9D -:0417FB00000A7A481E -:0417FC00204F4356E1 -:0417FD00676E617240 -:0417FE0025203A6503 -:0417FF0050430A73D6 -:0418000025203A4322 -:0418010000000A7564 -:0418020020505654C8 -:041803002046504CDF -:04180400756C617628 -:041805006573206582 -:041806006F74207467 -:0418070025783020F0 -:0418080000000A785A -:041809004B4C4350B1 -:04180A003A6E695F6A -:04180B00756C2520B3 -:04180C00000A7A480C -:04180D00636E79533A -:04180E0046504C20D4 -:04180F006C61762072 -:041810007320657567 -:041811007420746566 -:041812007830206F9B -:04181300000A78252A -:041814007361685044 -:041815006573206572 -:041816007463656C26 -:041817003A6E6F694D -:041818002F752520E3 -:0418190028207525E9 -:04181A0041475046AC -:04181B0025202C292F -:04181C0032332F75BF -:04181D0056542820D5 -:04181E00000A295043 -:04181F000073655994 -:0418200000006F4E07 -:04182100494D4448A1 -:04182200535F585464 -:041823005641746551 -:04182400666E49495A -:041825006172466F37 -:04182600203A656D92 -:041827003D4349569E -:04182800202C6425E7 -:041829006F6C6F432E -:04182A00646F4D7228 -:04182B0064253D658E -:04182C007341202CB8 -:04182D00746365700B -:04182E007461522D62 -:04182F00253D6F697B -:0418300049202C73AC -:0418310030375554A3 -:0418320073253D39A4 -:041833005449202CC8 -:0418340073253D4398 -:041835006970202C8A -:04183600726C6578F3 -:04183700253D706576 -:0418380000000A752D -:04183900417465533E -:04183A006E49495654 -:04183B0029286F6683 -:04183C000000203A4E -:04183D004974655332 -:04183E007475706EDF -:04183F0065646F4D20 -:0418400032302528F5 -:0418410030252C58CA -:041842000A295832E5 -:0418430000000000A1 -:041844004B4C435076 -:04184500766944205C -:041846006465646908 -:041847002079622082 -:041848006F6D20326E -:04184900000A6564C8 -:04184A005249434379 -:04184B0020363536D8 -:04184C0065646F6DF3 -:04184D000000000A8D -:04184E00636E7953F9 -:04184F00626D452061 -:041850006564646502 -:041851006F6D206433 -:04185200000A6564BF -:0418530075706E49F5 -:041854004444207474 -:041855006F6D205241 -:04185600000A6564BB -:0418570075706E49F1 -:041858006F6D20741C -:041859006920656439 -:04185A00475220735E -:04185B000A343242D7 -:04185C000000000088 -:04185D007074754FDF -:04185E006D20747510 -:04185F002065646F2D -:04186000592073692F -:041861003434565570 -:0418620000000A3444 -:041863002043534388 -:041864004752203D8A -:04186500555932425D -:04186600782520566B -:04186700000000205D -:041868003655544954 -:0418690030203130CA -:04186A003535322DB1 -:04186B000000002059 -:04186C007074754FD0 -:04186D006D20747501 -:04186E002065646F1E -:04186F005220736927 -:041870003432424785 -:041871000000000A69 -:0418720075746553D1 -:041873004546417035 -:04187400000A292815 -:041875005B676552F6 -:04187600583230258F -:04187700203D205D93 -:04187800583230258D -:041879000000000A61 -:04187A0074204B4F3C -:04187B006E69206F03 -:04187C00000074698B -:04187D00736C6146E1 -:04187E0072742065FB -:04187F0065676769C9 -:0418800000000072F2 -:04188100656D6954D4 -:041882000074756F0A -:04188300252E752574 -:041884006D75322E1E -:0418850000000073EC -:04188600252E752571 -:041887006D75322E1B -:0418880075252F7320 -:04188900322E252EA8 -:04188A0000736D7505 -:04188B006E694C0A2C -:04188C00203A736526 -:04188D002520752578 -:04188E0000000A63E9 -:04188F00636F6C43D4 -:041890007020736BE6 -:041891006C207265F0 -:041892003A656E69DC -:041893002075252077 -:041894005348203A5B -:041895002E75252067 -:0418960075332E2553 -:041897007A486B2000 -:041898005356202063 -:041899002E75252063 -:04189A0075322E2550 -:04189B000A7A48205D -:04189C000000000048 -:04189D0069777348AC -:04189E003A687464CC -:04189F00207525206B -:0418A00077735620E4 -:0418A100687464699A -:0418A2007525203A4E -:0418A300614D202053 -:0418A400766F726386 -:0418A5006F6973698B -:0418A60025203A6E51 -:0418A70000000A75BE -:0418A800252073255F -:0418A90063252D7511 -:0418AA00000000003A -:0418AB00252E75254C -:0418AC006B75322EF8 -:0418AD0025207A4830 -:0418AE002E252E7540 -:0418AF007A487532CC -:0418B0000000000034 -:0418B1007830323722 -:0418B200003030349E -:0418B300783034361F -:0418B400003030349C -:0418B5004E524157F7 -:0418B6003A474E4916 -:0418B700766E6920C0 -:0418B80064696C6192 -:0418B90072617420C4 -:0418BA005F7465678B -:0418BB00000A6D6C46 -:0418BC006F72724590 -:0418BD006E203A72ED -:0418BE007573206FAF -:0418BF006261746985 -:0418C0006D20656CC6 -:0418C1002065646FCB -:0418C2006E756F666A -:0418C30064202C640D -:0418C400756166657F -:0418C5006E69746C68 -:0418C6006F742067B4 -:0418C7003034322067 -:0418C80000000A70A2 -:0418C90065646F4D96 -:0418CA002073252042 -:0418CB00656C657370 -:0418CC006465746378 -:0418CD0068202D2042 -:0418CE00636E797359 -:0418CF0064697720B1 -:0418D000203A6874DE -:0418D1007870752591 -:0418D2000000000A08 -:0418D3004B4C4350E7 -:0418D40074756F5F59 -:0418D5006C25203A24 -:0418D6000A7A4875CD -:0418D700000000000D -:0418D8006C69614690 -:0418D9000000646542 -:0418DA006E7973436D -:0418DB0000000063A6 -:0418DC006E79734866 -:0418DD0000000063A4 -:0418DE0000736F70B4 -:0418DF000067656ECB -:0418E0006F7272456C -:0418E10063203A72D4 -:0418E200646C756F4E -:0418E300746F6E2090 -:0418E40061657220A8 -:0418E50072662064A3 -:0418E60054206D6FAE -:0418E70033375348F8 -:0418E800000A33358A -:0418E9006F72724563 -:0418EA0063203A72CB -:0418EB00646C756F45 -:0418EC00746F6E2087 -:0418ED00616572209F -:0418EE00726620649A -:0418EF0054206D6FA5 -:0418F00030375056E7 -:0418F100000A323087 -:0418F2006F7272455A -:0418F30063203A72C2 -:0418F400646C756F3C -:0418F500746F6E207E -:0418F6006165722096 -:0418F7007266206491 -:0418F80049206D6FA7 -:0418F90031363654FA -:0418FA0000000A33AD -:0418FB00314D4350D8 -:0418FC002032363828 -:0418FD006E756F662F -:0418FE0000000A6478 -:0418FF006F7272454D -:0419000063203A72B4 -:04190100646C756F2E -:04190200746F6E2070 -:041903006E69662083 -:041904006C66206489 -:041905000A68736198 -:0419060000000000DD -:041907007365725042 -:041908000000007368 -:04190900666E6F4354 -:04190A00006D726991 -:04190B006D73694D42 -:04190C006863746137 -:04190D006572202CB3 -:04190E000079727476 -:04190F00202323234B -:0419100020594944CD -:0419110045444956AA -:041912004944204FD5 -:0419130049544947A3 -:041914002052455ABE -:041915004353202FE9 -:041916004F434E41AC -:041917005245564E91 -:0419180020524554C0 -:0419190054494E4996 -:04191A00204B4F20EF -:04191B000A23232355 -:04191C000000000ABD -:04191D004353534F8E -:04191E0077662020A8 -:04191F007525202EDC -:04192000322E252E10 -:0419210000006175EC -:0419220074696E492D -:041923007272652057 -:041924002020726F9E -:041925000000642535 -:04192600444F435295 -:0419270030203A45ED -:04192800342E2578BC -:04192900202C786C8A -:04192A00000A642526 -:04192B00444F4342A0 -:04192C0030203A45E8 -:04192D00322E2578B9 -:04192E00000A786CC7 -:04192F00666F72501D -:041930003A656C693F -:0419310000000000B2 -:0419320065646F4D2C -:041933006572702049 -:041934003A74657329 -:0419350000000000AE -:04193600646F6D4924 -:0419370046282065B9 -:0419380029414750AA -:041939000000003A70 -:04193A002D756C2576 -:04193B006325632598 -:04193C00756C252081 -:04193D00322E252EF3 -:04193E007A48756C02 -:04193F0000000000A4 -:04194000746E63431B -:0419410066202F20CD -:04194200656D6172FC -:041943000000003A66 -:0419440000756C2599 -:041945006D72694610 -:0419460065726177EE -:041947000000003A62 -:04194800252E7525AE -:041949006175322E64 -:04194A000000000099 -:04194B00656E694C10 -:04194C00746C756DD5 -:04194D00646F6D2036 -:04194E0000003A65F6 -:04194F0073657270DA -:041950002D312073A2 -:04195100000000355D -:041952004E52415759 -:041953003A474E4978 -:04195400726F43204B -:0419550074707572C3 -:041956006D20646537 -:041957002065646F34 -:041958002064692876 -:041959000A296425CE -:04195A000000000089 -:04195B002078752556 -:04195C0075736E75BC -:04195D00726F7070C5 -:04195E000064657448 -:04195F00666F7250ED -:0419600020656C6929 -:0419610064616F6CE2 -:041962000000003A47 -:0419630073657270C6 -:041964007525207352 -:041965000075252DB7 -:0419660020232323F4 -:041967005449575335 -:041968004D20484383 -:041969002045444F82 -:04196A0025204F5491 -:04196B00232320739F -:04196C0000000A234A -:04196D002053485467 -:04196E0072756F73AC -:04196F007320656319 -:041970007420746506 -:041971007525206F49 -:041972000000000A67 -:041973006420732554 -:0419740063657465CE -:041975002C64657405 -:041976002073252095 -:04197700616C6F70C0 -:0419780079746972A3 -:041979000000000A60 -:04197A006E797356B9 -:04197B00656420631C -:04197C0074636574B7 -:04197D00202C646551 -:04197E00702073253D -:04197F0072616C6FB6 -:041980000A79746903 -:041981000000000062 -:0419820020474F5358 -:0419830065746564BE -:0419840064657463BF -:041985000000000A54 -:0419860020474F5354 -:0419870020746F6EEB -:0419880065746564B9 -:0419890064657463BA -:04198A000000000A4F -:04198B0020202020D8 -:04198C0053204F4E47 -:04198D0000434E596C -:04198E007474657395 -:04198F0020676E69F6 -:041990002043544953 -:0419910025206F742A -:0419920000000A64E3 -:041993006E616843D6 -:04199400676E6967AA -:041995003356412064 -:041996004247522052 -:04199700756F7320D5 -:041998000A65637207 -:04199900000000004A -:04199A00636E7953AC -:04199B002070752023 -:04199C0025206E692B -:04199D002E2E2E6458 -:04199E000000000A3B -:04199F00636E7953A7 -:0419A000776F6420D9 -:0419A1006E69206EDD -:0419A2002E6425206A -:0419A300000A2E2EDA -:0419A4006C746F747C -:0419A50073656E698F -:0419A6006C25203A52 -:0419A700632820751C -:0419A800202972750B -:0419A9006C25202F5A -:0419AA00702820750C -:0419AB0029766572C2 -:0419AC006C63202C1C -:0419AD00746E636B86 -:0419AE006C25203A4A -:0419AF006328207514 -:0419B0002029727503 -:0419B1006C25202F52 -:0419B2007028207504 -:0419B30029766572BA -:0419B4006F74202EFE -:0419B5006E696C7477 -:0419B600745F736582 -:0419B700203A7076EC -:0419B800202C752545 -:0419B9003A4D5356FA -:0419BA000A75252065 -:0419BB000000000028 -:0419BC0020474F531E -:0419BD006C6F68746F -:0419BE0065732064C9 -:0419BF006F742074AD -:0419C0002578302036 -:0419C10000000A78A0 -:0419C20020504C4322 -:0419C3002046504C1E -:0419C400756C617667 -:0419C50065732065C1 -:0419C6006F742074A6 -:0419C700257830202F -:0419C80000000A7899 -:0419C900636E79537D -:0419CA000A7075200A -:0419CB000000000018 -:0419CC00636E79537A -:0419CD00736F6C20A8 -:0419CE0000000A7497 -:0419CF0065646F4D8F -:0419D00061686320C7 -:0419D1000A65676ECE +:040181000023C61180 +:04018200167D00B333 +:04018300FE6503050D +:04018400460380822C +:04018500C683000528 +:040186000505000566 +:040187001363058574 +:04018800FA6500D63E +:0401890040D6053324 +:04018A00011380825B +:04018B006765FC4167 +:04018C00DA26DC2271 +:04018D00A1870793AC +:04018E0000E7828381 +:04018F0065654671EB +:0401900002C28633EE +:04019100B785069395 +:040192000237C3036A +:040193000167C40339 +:04019400A187071325 +:0401950096B243815A +:040196000146C60355 +:04019700D603C63293 +:04019800C68300C654 +:04019900C832013631 +:04019A00C683CA3618 +:04019B00C78302779D +:04019C00D43601074D +:04019D00B785069389 +:04019E00CE36C03E5B +:04019F000FD3779370 +:0401A00056A2EB95E3 +:0401A10002974783F7 +:0401A2004685C29933 +:0401A3004602A0056B +:0401A4000B63468D16 +:0401A500458200D6B9 +:0401A6008D6346110E +:0401A700468910C5B0 +:0401A800FED595E308 +:0401A900B7D5078639 +:0401AA0007864682FC +:0401AB0000F697B310 +:0401AC000FF7F393C3 +:0401AD00FFF30693C3 +:0401AE000FF6F693BF +:0401AF0044814785BB +:0401B00000D7EF6322 +:0401B100460346912A +:0401B200E863029765 +:0401B30006930E861B +:0401B40086B3FFF41B +:0401B50096B302C635 +:0401B600F49300D7E7 +:0401B70047830FF675 +:0401B80066E502876F +:0401B900D03E4601ED +:0401BA00A186879300 +:0401BB008693CC3E1D +:0401BC00C202A18654 +:0401BD001513478D42 +:0401BE001A6300269A +:0401BF00C7830CF3F3 +:0401C000859302A67B +:0401C10089BDFFF7FE +:0401C20000A595B34C +:0401C30037B34512F7 +:0401C40097B300F0FD +:0401C5008DC900C719 +:0401C6000077E7B324 +:0401C700F393C22EBE +:0401C80006050FF722 +:0401C90006854795CB +:0401CA00FCF616E346 +:0401CB00C4024681A3 +:0401CC00478D4519FD +:0401CD0000269593E0 +:0401CE000AF31263BB +:0401CF00C78347E2B9 +:0401D000861302F799 +:0401D1008A3DFFF76D +:0401D20000B616332A +:0401D30037B345A257 +:0401D40097B300F0ED +:0401D5008E4D00D774 +:0401D600C4328FC5DB +:0401D7000FF7F49397 +:0401D800068547E26F +:0401D900CC3E07858C +:0401DA00FCA695E307 +:0401DB0087B347F1AE +:0401DC0046F202F2F3 +:0401DD0097B6D202FD +:0401DE008B854F9C22 +:0401DF004782CB8107 +:0401E0004783C791F9 +:0401E100B793026767 +:0401E200D23E0017F2 +:0401E3000227478325 +:0401E4009C6346854D +:0401E500478204D772 +:0401E60047F1CB9979 +:0401E70002F287B3E6 +:0401E80097B646F28E +:0401E90046914BDC14 +:0401EA00916383F5A5 +:0401EB00448104D770 +:0401EC00A82D438176 +:0401ED0002D787B3FB +:0401EE000793BDD5E1 +:0401EF008633FFE470 +:0401F000478D02C76E +:0401F10000C796337A +:0401F2000FF67493FD +:0401F3005782BF0967 +:0401F40000A7953398 +:0401F5008FC94792D5 +:0401F600B7A1C23EAD +:0401F70095B35782E3 +:0401F80047A200B763 +:0401F900C43E8FCDA4 +:0401FA00D3F1BFA5D9 +:0401FB0067A14F5455 +:0401FC001EF68C63FC +:0401FD001CD7E163C7 +:0401FE0010000613D4 +:0401FF008B63479136 +:04020000661100C6BD +:040201008763478D3B +:04020200061300C619 +:040203008663080006 +:0402040087A21CC6EB +:040205004583666562 +:04020600462103F694 +:0402070000C5976334 +:04020800060646326E +:0402090082410642E6 +:04020A004583C63230 +:04020B0045320187F0 +:04020C00F66346054A +:04020D00063300A50F +:04020E00064240B5AF +:04020F004571824172 +:0402100002A285338E +:0402110040F405B3FD +:0402120045F2CC2EB7 +:04021300137D6305EF +:04021400952E07F626 +:0402150081D5450C3E +:040216004583CE2E20 +:0402170042F2012589 +:040218004583D02E1C +:04021900F2B3011724 +:04021A00D62E00627A +:04021B0000E5558322 +:04021C0005B7D82E1C +:04021D0015FD0100CA +:04021E0075B3C62EC0 +:04021F0085B300643F +:0402200052C202556F +:040221000065F5B3CC +:0402220081C105C2CF +:04022300F33305B2FA +:04022400E33300625E +:0402250045B2006579 +:0402260000B373337B +:0402270002C405B355 +:040228000185929327 +:04022900005365B366 +:04022A004583D82E02 +:04022B0045520155E2 +:04022C00051289BD71 +:04022D0075138DC9EF +:04022E00056200F471 +:04022F0045038DC92D +:0402300005720177DB +:0402310025378DC917 +:04023200157DFF0037 +:0402330000A5F2B37D +:04023400450345C277 +:04023500F313043784 +:0402360055827FF579 +:04023700893D0352A8 +:0402380002B405B354 +:040239001FF5F59325 +:04023A0000B3633377 +:04023B0004474583AC +:04023C000592899D01 +:04023D0045038DC91F +:04023E00897D05872A +:04023F008D4D051EBE +:040240000577458376 +:0402410005B28985F4 +:0402420075418DC9AC +:04024300B69396AA2E +:0402440006B60016E4 +:0402450055828ECD83 +:04024600962E456249 +:04024700063345E253 +:0402480045F202B6C3 +:0402490002A585B3D2 +:04024A00C5B34509EA +:04024B0095B202A5C1 +:04024C003FF5F593F2 +:04024D008DD505BA8C +:04024E00460256B25C +:04024F0006E28A8DAC +:0402500075938ECD47 +:0402510005EA007644 +:0402520046928DD56E +:04025300F7938DDDB3 +:0402540006B201F3FA +:0402550007D282B199 +:0402560046838FD577 +:04025700F613024751 +:040258008AFD03F424 +:040259008FD506E651 +:04025A00025746837E +:04025B008A85571227 +:04025C008FD506FA3A +:04025D0046B2077E20 +:04025E0047228FD9CB +:04025F0057228EF99B +:040260008F51071E95 +:040261000186D61329 +:040262000406761305 +:0402630046328F513F +:040264008EF10762AE +:0402650056C28F5599 +:040266000002263735 +:040267002823C6542E +:040268002A230066DF +:04026900CE0C005661 +:04026A00D218CE5C7C +:04026B0054D25462B3 +:04026C0003C10113B6 +:04026D0007B78082CD +:04026E008C6300207D +:04026F0007B702F6D5 +:040270008C63040097 +:04027100664102F6EA +:0402720085E3479940 +:040273000637E4C6A0 +:0402740087A200401D +:0402750002C6F96361 +:040276004603BD3549 +:040277008F91040758 +:04027800F79307866B +:04027900B53D0FF789 +:04027A00040746032C +:04027B008F91479187 +:04027C004603BFCDA9 +:04027D004795040796 +:04027E004603BFDD97 +:04027F004799040790 +:04028000F7938F91D0 +:0402810046030FF72A +:0402820015E303A7D6 +:040283004642E00609 +:04028400FE860313DC +:040285000103161348 +:04028600C8328241B7 +:040287000593465243 +:04028800961300C603 +:0402890082410105A8 +:04028A00B3EDCA32D4 +:04028B00C78367E5D9 +:04028C006765054756 +:04028D0004F709A3C6 +:04028E0087B347717A +:04028F00676502E7B6 +:04029000B787071312 +:04029100D68397BABF +:04029200676500E7B5 +:0402930004D7122357 +:0402940066E54B9838 +:0402950093238B7DA7 +:04029600C68304E631 +:04029700676501474F +:0402980004D7142350 +:040299000127C683F0 +:04029A001123676560 +:04029B00479804D7A5 +:04029C00835566E53B +:04029D0004E69023C0 +:04029E000157C683BB +:04029F001823676554 +:0402A000C68304D736 +:0402A1006765013755 +:0402A20004D7172343 +:0402A30000C7D68337 +:0402A4001623676551 +:0402A500C70304D7B0 +:0402A60067E50167A0 +:0402A70004E79523B0 +:0402A8006785808264 +:0402A9008027879390 +:0402AA0002F507B39F +:0402AB0000022737EF +:0402AC00110707131C +:0402AD0067218FF93D +:0402AE000207071329 +:0402AF0002E505332C +:0402B0000008873784 +:0402B10044070713E4 +:0402B2008D5D8D7958 +:0402B300879367C105 +:0402B40005331017E7 +:0402B500814102F58C +:0402B6000FF57513B8 +:0402B70057138082D7 +:0402B8001793018512 +:0402B9008FD9018553 +:0402BA0000FF06B784 +:0402BB000085171390 +:0402BC008FD98F75D2 +:0402BD00071367417B +:0402BE008121F007A3 +:0402BF008D5D8D794B +:0402C000C609808269 +:0402C100000217B769 +:0402C2000007A0236E +:0402C300F693470166 +:0402C4001637FFC525 +:0402C50007B3000279 +:0402C600646300E588 +:0402C700898D02D744 +:0402C8009463470DE7 +:0402C900D68302E5F1 +:0402CA0017370007DB +:0402CB0012230002F8 +:0402CC00C78300D70D +:0402CD0002230027E1 +:0402CE0017B700F767 +:0402CF004B88000256 +:0402D000439C808249 +:0402D100C25C0711F3 +:0402D2004709B7F928 +:0402D30000E5996346 +:0402D4000007D70345 +:0402D500000217B755 +:0402D60000E7922388 +:0402D7004705BFF91F +:0402D800FCE59DE3C1 +:0402D9000007C70350 +:0402DA00000217B750 +:0402DB0000E7822393 +:0402DC006765B7E9B2 +:0402DD000387071379 +:0402DE00E793431C43 +:0402DF00C31C08072D +:0402E00000021737CA +:0402E1000EF72023D1 +:0402E20011518082B4 +:0402E3001437C222E8 +:0402E400C02600022E +:0402E50084AA4601A0 +:0402E60004C00593B8 +:0402E70004040513F3 +:0402E800320DC40609 +:0402E9000FF4F59386 +:0402EA0005134601B1 +:0402EB003A8104044C +:0402EC0004C00593B2 +:0402ED0004040513ED +:0402EE003229460566 +:0402EF0004040513EB +:0402F00040A24412D2 +:0402F1004585448279 +:0402F200BA290131F3 +:0402F300C4221141CF +:0402F40000021437B9 +:0402F500C02AC22633 +:0402F600460184AE8B +:0402F70004C00593A7 +:0402F80004040513E2 +:0402F90038F9C60604 +:0402FA0046014782F0 +:0402FB0004040513DF +:0402FC000FF7F59370 +:0402FD00051332298A +:0402FE00442204048E +:0402FF0085A640B2DE +:0403000046054492D8 +:04030100B8E5014119 +:04030200451111513F +:040303003FB5C40638 +:04030400659340A21B +:04030500F593004527 +:0403060045110FF599 +:04030700B77D01318C +:04030800C2221151AB +:04030900842A45817C +:04030A00C406453DA3 +:04030B00051337455A +:04030C003FA10C10F1 +:04030D000FE57593F0 +:04030E0005138DC185 +:04030F0037790C101E +:0403100040A24412B1 +:040311000513458DFE +:0403120001310C6049 +:040313001141B7419C +:040314001437C422B4 +:04031500C2260002FA +:0403160084AEC02AC7 +:040317000593460103 +:04031800051304A025 +:04031900C60604040C +:04031A0085DFF0EF9C +:04031B0046014782CE +:04031C0004040513BD +:04031D00F0EF85BEBA +:04031E000513889F9C +:04031F00442204046C +:0403200085A640B2BC +:0403210046054492B7 +:04032200F06F014136 +:040323001141875F9E +:040324001437C422A4 +:04032500C2260002EA +:0403260084AEC02AB7 +:0403270005934601F3 +:04032800051302C0F7 +:04032900C6060404FC +:04032A0081DFF0EF90 +:04032B0046014782BE +:04032C0004040513AD +:04032D00F0EF85BEAA +:04032E000513849F90 +:04032F00442204045C +:0403300085A640B2AC +:0403310046054492A7 +:04033200F06F014126 +:040333001151835F82 +:040334001437C22296 +:04033500C0260002DC +:0403360084AA46014E +:0403370005C0059365 +:0403380004040513A1 +:04033900F0EFC40617 +:04033A00F593FDEF4B +:04033B0046050FF470 +:04033C00040405139D +:04033D0080BFF0EF9E +:04033E0005C005935E +:04033F00040405139A +:04034000F0EF46058F +:040341000513FC2F75 +:040342004412040459 +:04034300448240A20E +:0403440001314585B9 +:04034500FD0FF06F49 +:04034600C42211417B +:040347000002143765 +:04034800C02AC226DF +:04034900460184AE37 +:04034A0005C0059352 +:04034B00040405138E +:04034C00F0EFC60602 +:04034D004782F92FBB +:04034E00051346014C +:04034F00F59304041A +:04035000F0EF0FF7C4 +:040351000513FBCFC6 +:040352004422040439 +:0403530085A640B289 +:040354004605449284 +:04035500F06F014103 +:040356001151FA8FB8 +:04035700C222C406F4 +:040358000065478372 +:04035900456D842A40 +:04035A000047959330 +:04035B00F5938DDDAC +:04035C00375D0FF505 +:04035D000064458370 +:04035E003F7945712D +:04035F00003445839E +:040360003F59452993 +:04036100004445838C +:04036200377945257D +:04036300005445837A +:04036400375945219F +:0403650000044583C8 +:040366003FBD45351D +:0403670000144583B6 +:040368003F9D45313F +:0403690000244583A4 +:04036A0040A2441257 +:04036B000131452DEA +:04036C001141B7A5DF +:04036D00842AC422F8 +:04036E00C22645114D +:04036F0084AEC03266 +:040370003731C60655 +:040371007593460238 +:04037200C65D0F85D0 +:040373007FF007937D +:040374000A87E463AD +:04037500E593040602 +:040376004511001518 +:040377003F2D0442D0 +:0403780055938041D8 +:04037900F5930044B4 +:04037A0045050FF531 +:04037B00159337356A +:04037C00F5930044B1 +:04037D0045090F051A +:04037E0007B7370581 +:04037F008793019CC3 +:04038000D7B3CC071C +:040381005737029751 +:040382000713022536 +:0403830045810FF7AA +:04038400028787B3B2 +:0403850002F77063A8 +:04038600042C2737E5 +:04038700D7F707138A +:0403880079634585CB +:04038900F5B700F7CD +:04038A008593080B44 +:04038B00B5B3FBF516 +:04038C00058900F5EA +:04038D00879367E10A +:04038E0097AEE2C77D +:04038F000007C5039B +:04039000028007934D +:040391000533471DCC +:04039200579302F586 +:04039300953E00147F +:040394000285443367 +:040395000FF47413DA +:040396000FF4779356 +:040397000087736305 +:040398004422479D17 +:04039900449240B298 +:04039A00059A078E2B +:04039B00F5938DDD6C +:04039C00450D0F8577 +:04039D00B54D014118 +:04039E003D7945114F +:04039F005583B79D2E +:0403A000115100A552 +:0403A10081A1C22252 +:0403A2000513842A91 +:0403A300C40604B0D8 +:0403A40045833561F7 +:0403A500051300A498 +:0403A6003DBD04A0B5 +:0403A70000C45583B6 +:0403A80004D0051365 +:0403A9003D8D81A164 +:0403AA0000C44583C3 +:0403AB0004C0051372 +:0403AC00558335A59B +:0403AD00051300E450 +:0403AE0081A104F035 +:0403AF0045833DB194 +:0403B000051300E44D +:0403B1003D8904E09E +:0403B200004455832B +:0403B3000510051319 +:0403B400359981A155 +:0403B5000044458338 +:0403B6000500051326 +:0403B70055833D35F8 +:0403B80005130064C5 +:0403B90081A10530E9 +:0403BA0045833D0535 +:0403BB0005130064C2 +:0403BC00351D0520C6 +:0403BD0000845583E0 +:0403BE0005500513CE +:0403BF003D2981A1B2 +:0403C00000844583ED +:0403C10005400513DB +:0403C20055833D0121 +:0403C3000513010419 +:0403C40081A105709E +:0403C5004583351126 +:0403C6000513010416 +:0403C7003BED0560A5 +:0403C8000124558334 +:0403C9000590051383 +:0403CA0033FD81A1DD +:0403CB000124458341 +:0403CC000580051390 +:0403CD00558333D54C +:0403CE0005130144CE +:0403CF0081A105B053 +:0403D00045833BE145 +:0403D100441201448D +:0403D200051340A22D +:0403D300013105A04F +:0403D4001151B3E12F +:0403D500842AC22292 +:0403D60003F0051318 +:0403D7003B85C40698 +:0403D8000F05759305 +:0403D90044128DC17C +:0403DA00051340A225 +:0403DB00013103F0F9 +:0403DC001151B365A3 +:0403DD00842AC2228A +:0403DE00C4064569A3 +:0403DF0075133B89CE +:0403E000041A03F503 +:0403E10075938C493B +:0403E20044120FF4BE +:0403E300456940A286 +:0403E400B3590131D7 +:0403E500C2221151CE +:0403E600842EC0267B +:0403E700843384AA2D +:0403E8004511028435 +:0403E9003325C406EE +:0403EA007593891D61 +:0403EB00058E01F486 +:0403EC0045118DC961 +:0403ED0040A2339562 +:0403EE00405455130F +:0403EF0044824412EE +:0403F0000FF575137D +:0403F10080820131D4 +:0403F200C2221151C1 +:0403F30000021437B9 +:0403F4000593460126 +:0403F500051302C02A +:0403F600C406040431 +:0403F700CE8FF0EFC6 +:0403F80045854605EC +:0403F90004040513E0 +:0403FA00D16FF0EFE0 +:0403FB0002C00593A4 +:0403FC0004040513DD +:0403FD00F0EF4605D2 +:0403FE000513CCEF28 +:0403FF00441204049C +:04040000458540A24C +:04040100F06F013166 +:040402001151CDEFD8 +:04040300C222C40647 +:040404003F5D842AAA +:04040500FE75759378 +:040406008C4D040E07 +:040407000FF4741367 +:04040800450585A27F +:0404090085A231ADEA +:04040A0031954509DA +:04040B00441285A270 +:04040C00450D40A2B8 +:04040D00B9A101315F +:04040E00C0261151A2 +:04040F00C40667E5D3 +:04041000C703C2223A +:0404110084AA03D7DF +:0404120067E5E14970 +:04041300A1878793A3 +:0404140004374794CE +:040415000413019C2F +:040416005433CC048B +:04041700878302D401 +:0404180046F100E7C2 +:0404190002D786B3CD +:04041A00879367E578 +:04041B0097B6B78752 +:04041C0000E7D7839B +:04041D0002F40433AE +:04041E001D6347898A +:04041F00C7B700F764 +:04042000879301C9F4 +:04042100E36337F763 +:0404220044BD00874E +:0404230035D1852624 +:04042400A03D450DA5 +:0404250067E53D7DCD +:0404260003F7C7030E +:04042700FF870793B1 +:040428000F77F793C0 +:040429004791C79997 +:04042A001B6345010A +:04042B00450500F78C +:04042C00B537A80137 +:04042D00051304C4EB +:04042E0035333FF52E +:04042F000509008536 +:0404300040A2441290 +:0404310001314482CF +:040432004409B78939 +:0404330000871763C4 +:040434008EE3453DD1 +:040435004501FAE49F +:040436004501BF5D60 +:04043700450D3D9D95 +:04043800FE9470E3DB +:040439008D054515D3 +:04043A000FF5751332 +:04043B001793BFD183 +:04043C00655D0025D5 +:04043D007B05051323 +:04043E00410C953E9A +:04043F004645656564 +:04044000AA050513F1 +:0404410048E0506FD0 +:04044200053345F148 +:0404430065E502B5B4 +:04044400B785859360 +:0404450095AA4645E9 +:0404460005136565D0 +:04044700506FAA0543 +:0404480047A9474039 +:0404490000F50E6349 +:04044A00050A67E157 +:04044B00F847879354 +:04044C00410C953E8C +:04044D004645656556 +:04044E00AA050513E3 +:04044F004560506F45 +:04045000859365D952 +:04045100B7FD71C5BD +:04045200112167E528 +:040453000207A68373 +:04045400C82267E56E +:040455008793C6269D +:04045600CA06B30718 +:040457000377C7035D +:040458000387C6034D +:040459003E800413CA +:04045A00D4334785CB +:04045B00648D028624 +:04045C0000F715632D +:04045D008493648997 +:04045E00458580044C +:04045F00C432453D21 +:04046000C036C23AA6 +:04046100A49FF0EF75 +:0404620005134581B8 +:04046300F0EF033083 +:04046400D593A3FF8A +:0404650005130084F7 +:04046600F0EF034070 +:040467004581A33FE9 +:040468000350051325 +:04046900A29FF0EF6F +:04046A000FF4759383 +:04046B000300051372 +:04046C00A1DFF0EF2D +:04046D00008455931F +:04046E000FF5F593FE +:04046F00031005135E +:04047000A0DFF0EF2A +:04047100010455939A +:040472000FF5F593FA +:04047300032005134A +:040474009FDFF0EF27 +:04047500453D45813B +:040476009F5FF0EFA5 +:0404770005134581A3 +:04047800F0EF0C5045 +:0404790046829EBF5A +:04047A00471264E5DC +:04047B00A08487933F +:04047C004785C79455 +:04047D0084934622FC +:04047E004409A08409 +:04047F0000F703631C +:040480004685442940 +:04048100008483A3CD +:0404820000D48223FD +:04048300036347BD0B +:04048400478100D6D6 +:0404850000F482A35A +:040486000E0005134C +:040487000C000593CD +:04048800F0EFC03A97 +:0404890047029ABFCD +:04048A00051347858A +:04048B001D63059058 +:04048C00F0EF10F786 +:04048D007593959F2F +:04048E00E5930FC51E +:04048F00051300153C +:04049000F0EF0590F4 +:04049100451198BFBA +:04049200943FF0EFB4 +:040493000EB575939A +:04049400F0EF45112F +:04049500C58397BFC5 +:040496000513004406 +:04049700F0EF0E1064 +:04049800059396FF33 +:0404990005130E40F9 +:04049A00F0EF0E2051 +:04049B00C583963F40 +:04049C0005130054F0 +:04049D0089BD0E30D7 +:04049E00955FF0EF87 +:04049F00051345A15B +:0404A000F0EF0E402B +:0404A100458594BF3A +:0404A200F0EF453DF5 +:0404A30045A1943F9C +:0404A4000910051323 +:0404A500939FF0EF42 +:0404A6000513458174 +:0404A700F0EF092049 +:0404A800458592FFF5 +:0404A90009300513FE +:0404AA00925FF0EF7E +:0404AB00051345816F +:0404AC00F0EF094024 +:0404AD0085A291BFD4 +:0404AE0009800513A9 +:0404AF00911FF0EFBA +:0404B0000FF445936D +:0404B100E593059238 +:0404B200F59300B509 +:0404B30005130FB569 +:0404B400F0EF0990CC +:0404B50045818FBF2F +:0404B600F0EF453DE1 +:0404B70005938F3FDB +:0404B80005130C100C +:0404B900F0EF0E0052 +:0404BA0007938E7F97 +:0404BB008323FC108B +:0404BC00458500F47E +:0404BD00F0EF453DDA +:0404BE0045858D7F64 +:0404BF00068005139B +:0404C0008CDFF0EFEE +:0404C1000513458159 +:0404C200F0EF0690C1 +:0404C30045818C3FA4 +:0404C40006B0051366 +:0404C5008B9FF0EF2A +:0404C6000513458154 +:0404C700F0EF06C08C +:0404C80005938AFF0F +:0404C9000513070010 +:0404CA00F0EF06D079 +:0404CB0045818A3F9E +:0404CC00F0EF453DCB +:0404CD00444289BF5D +:0404CE0044B240D222 +:0404CF000513458D3F +:0404D00001610CE0DA +:0404D100889FF06FA1 +:0404D200843FF0EF84 +:0404D3000FC5759349 +:0404D4000113B5FD5E +:0404D500D006FDC18F +:0404D600CC26CE2240 +:0404D70087AA4709A0 +:0404D80010E59C632C +:0404D9000500071300 +:0404DA0000E105A395 +:0404DB0000B1470322 +:0404DC00007614137F +:0404DD0004800593FF +:0404DE00008464131F +:0404DF000036F4935C +:0404E0000027671377 +:0404E10000B106233D +:0404E2000FF474138C +:0404E300453D4585C9 +:0404E40000F10723F9 +:0404E50005A3C03E6D +:0404E600C23A00E135 +:0404E700008106A3E7 +:0404E800009107A3D5 +:0404E900CA02C80279 +:0404EA00825FF0EF4E +:0404EB00051347129C +:0404EC0085BA058048 +:0404ED00819FF0EF0C +:0404EE0004800593EE +:0404EF00059005135C +:0404F00080DFF0EFCA +:0404F100051385A2C8 +:0404F200F0EF05A082 +:0404F3004782803F7D +:0404F40005B0051337 +:0404F500F0EF85BEE1 +:0404F60085A6FF6F69 +:0404F70005C0051324 +:0404F800FECFF0EF54 +:0404F9000513458121 +:0404FA00F0EF05E03A +:0404FB004581FE2F0A +:0404FC0005F00513EF +:0404FD00FD8FF0EF90 +:0404FE00051345811C +:0404FF00F0EF060014 +:040500004581FCEF46 +:0405010006100513C8 +:04050200FC4FF0EFCB +:040503000513458116 +:04050400F0EF0620EE +:040505004581FBAF82 +:0405060006300513A3 +:04050700FB0FF0EF07 +:040508000513458111 +:04050900F0EF0640C9 +:04050A004581FA6FBE +:04050B00065005137E +:04050C00F9CFF0EF44 +:04050D000713003C94 +:04050E0045810151D1 +:04050F000037C68368 +:040510008D95078539 +:040511000FF5F5935A +:04051200FEF71AE3F3 +:0405130006F58593D1 +:040514000FF5F59357 +:0405150005D00513F5 +:04051600F74FF0EFBC +:04051700453D458198 +:04051800F6CFF0EF3B +:040519005082447256 +:04051A00458D44E2E5 +:04051B000CD00513E8 +:04051C000241011384 +:04051D00F58FF06FF7 +:04051E00B5FD47419F +:04051F00CA221111CA +:040520004505842ADF +:04052100C826CC0616 +:04052200F98FF0EF6E +:04052300F0EF45119F +:040524006593EFCF1D +:04052500F5930085C5 +:0405260045110FF577 +:04052700F30FF0EFEF +:040528000300059334 +:0405290006100513A0 +:04052A00F24FF0EFAD +:04052B0005134581EE +:04052C00F0EF0CD010 +:04052D0067E5F1AFDE +:04052E00A187871307 +:04052F0001474483B9 +:04053000A187879385 +:04053100C03E470978 +:04053200009034B34E +:04053300C23A4785FC +:0405340003630485D4 +:04053500C20200F40A +:04053600451145F531 +:04053700EF0FF0EFE3 +:04053800079366E5DA +:040539008613FFE442 +:04053A0037B3A086AD +:04053B00971300F022 +:04053C0047830017DA +:04053D009BF500C664 +:04053E0087138FD9B7 +:04053F000623A08669 +:04054000C43A00F6C3 +:04054100C7818B895A +:04054200F0EF45058C +:0405430047A2F16F6B +:040544000700051394 +:040545000037C78331 +:04054600F0EFC63ECE +:0405470047B2E70FC1 +:040548000035759372 +:040549000087F7131D +:04054A00E593C31959 +:04054B00F71302059B +:04054C00C3190017B8 +:04054D000105E5932C +:04054E000027F71378 +:04054F00E593C31954 +:040550008B91008506 +:04055100E593C399D2 +:040552000513004548 +:04055300F0EF0700BE +:040554004792E7EFF4 +:04055500C3B5458164 +:04055600879367E53B +:04055700C5839C4775 +:040558000513000780 +:04055900F0EF073088 +:04055A0067E5E66FFC +:04055B009C4787131F +:04055C0000174583BC +:04055D00074005133B +:04055E00E54FF0EF86 +:04055F00879367E532 +:04056000C5839C476C +:040561000513002757 +:04056200F0EF07505F +:040563006761E42FB9 +:0405640007134781B1 +:0405650006B3FB07D7 +:04056600C58300F752 +:0405670085130006F2 +:04056800C23E076721 +:04056900E28FF0EF3E +:04056A0067614792EC +:04056B00078546C9F1 +:04056C00FB0707136F +:04056D00FED791E341 +:04056E0005134589A3 +:04056F00C22E072071 +:04057000DCAFF0EF1D +:0405710089714592B5 +:0405720005138DC917 +:04057300F0EF07207E +:0405740047A2DFEFCC +:04057500C78345856E +:040576008B8900C7A6 +:040577004581E39146 +:040578000C0005135B +:04057900DE8FF0EF32 +:04057A00451145D50D +:04057B00DE0FF0EFB0 +:04057C00051345C15D +:04057D00F0EF061085 +:04057E004789DD6F5D +:04057F000EF49E6375 +:040580000880059357 +:040581000620051338 +:04058200DC4FF0EF6B +:04058300051345C156 +:04058400F0EF06305E +:040585000593DBAF50 +:040586000513084011 +:04058700F0EF06404B +:040588000513DAEF8E +:04058900E0EF3E80E1 +:04058A004511AD9FCB +:04058B00D5EFF0EFC9 +:04058C0001D575938D +:04058D00F0EF451135 +:04058E006561D96F5B +:04058F006A050513E1 +:04059000ABFFE0EFEE +:04059100F0EF451131 +:040592007593D44F3A +:0405930045110155B8 +:04059400D7CFF0EFDE +:040595000640049385 +:04059600F0EF453904 +:040597008941D30FB4 +:0405980014FDE9095C +:04059900051304C280 +:04059A0080C13E805E +:04059B00A93FE0EFA5 +:04059C004581F4E5BC +:04059D00F0EF453105 +:04059E000593D56F7D +:04059F0045350400DA +:0405A000D4CFF0EFD5 +:0405A100453945850E +:0405A200D44FF0EF53 +:0405A3004539458110 +:0405A400D3CFF0EFD2 +:0405A500453D45810A +:0405A600D34FF0EF50 +:0405A7000513458172 +:0405A800F0EF06105A +:0405A9004789D2AFFD +:0405AA0002F40563EF +:0405AB0064E547823A +:0405AC00B30484937D +:0405AD000137C683C9 +:0405AE000157C50329 +:0405AF000244C60339 +:0405B000008035B3DF +:0405B1003171058619 +:0405B2000244C783B5 +:0405B30003234702D5 +:0405B400F0EF04F769 +:0405B5004581D36F3A +:0405B6000CE005133D +:0405B700CF0FF0EF83 +:0405B8000463478908 +:0405B900F0EF00F46B +:0405BA004452A63FC2 +:0405BB0044C240E214 +:0405BC000171450183 +:0405BD00D2CFF06F3A +:0405BE00051345E1FB +:0405BF00F0EF062033 +:0405C00045C1CCEF76 +:0405C10006300513E8 +:0405C200CC4FF0EF3B +:0405C300B73145B156 +:0405C40011416789F1 +:0405C50071078793A0 +:0405C60054B3C22642 +:0405C70066C102F512 +:0405C800C606C4227D +:0405C90016FD4401D6 +:0405CA00000217B75D +:0405CB000D07A7036E +:0405CC00879367E5C5 +:0405CD008F75AB4734 +:0405CE000347861346 +:0405CF000007D50349 +:0405D00002E50463D9 +:0405D1009BE3078918 +:0405D2006509FEC7F2 +:0405D3007105051396 +:0405D400E0EFC02E66 +:0405D50067C19ADF81 +:0405D6004582040551 +:0405D700FFF7869311 +:0405D800FC9464E348 +:0405D900A01945011F +:0405DA004505C1987A +:0405DB00442240B2C4 +:0405DC000141449203 +:0405DD0011418082C6 +:0405DE0000A101A3D4 +:0405DF0000021537CA +:0405E0004605C422E6 +:0405E1000513842E4C +:0405E2000593040574 +:0405E300C606003117 +:0405E400DB9FE0EFCA +:0405E500E0EF85229C +:0405E60040B2969FEA +:0405E7000141442268 +:0405E80011518082AB +:0405E90002200513D4 +:0405EA00C222C4065F +:0405EB00F0EFC02647 +:0405EC007413D20FA3 +:0405ED0045CD0FF5F4 +:0405EE00F0EF45598C +:0405EF006489D5EF57 +:0405F00071048513FA +:0405F10093BFE0EFE5 +:0405F200455D458D91 +:0405F300D4CFF0EF82 +:0405F40071048513F6 +:0405F50092BFE0EFE2 +:0405F60008046593FD +:0405F70002200513C6 +:0405F800D38FF0EFBE +:0405F9003E80051328 +:0405FA00917FE0EF1E +:0405FB0007F47593F9 +:0405FC0040A24412C3 +:0405FD00051344821C +:0405FE0001310220A5 +:0405FF00D1CFF06FF9 +:04060000E9634789DA +:0406010011510CA7E0 +:04060200C026C2222A +:0406030084B7C406EE +:04060400409C000214 +:0406050083ED842AD3 +:0406060088638BBDBD +:0406070047D10AA726 +:0406080002F507B33D +:04060900859365DD93 +:04060A0046517BC515 +:04060B00004485130F +:04060C00040A883D17 +:04060D00E0EF95BEC7 +:04060E00409CD91F14 +:04060F00FC37F7932A +:04061000C0808C5DBD +:040611000793646582 +:04061200C783A18475 +:0406130004130207C3 +:04061400CF91A1845D +:0406150007136765FB +:04061600431C0387F7 +:040617009BF54529E1 +:040618001737C31CB1 +:040619002023000298 +:04061A00E0EF0EF708 +:04061B0017B7895F25 +:04061C00A7830002AE +:04061D0007370D0787 +:04061E008FF9000848 +:04061F0087B7EB8D21 +:0406200043980002F9 +:040621000007596312 +:0406220045054398AF +:040623000017671342 +:04062400E0EFC398A8 +:0406250087B786DF2E +:0406260043980002F3 +:040627009B7945294D +:040628004398C39898 +:04062900002767132C +:04062A00E0EFC398A2 +:04062B004783855F1D +:04062C00CF81020474 +:04062D0007136765E3 +:04062E00431C0387DF +:04062F000027E79326 +:040630001737C31C99 +:040631002023000280 +:0406320040A20EF7DD +:0406330044824412A7 +:04063400808201318E +:0406350065E1808279 +:040636001151656594 +:040637000460061342 +:040638008A85859397 +:04063900B3050513ED +:04063A00E0EFC40623 +:04063B0065E1CDDFC9 +:04063C0006136565D7 +:04063D00859347C09A +:04063E0005138F050C +:04063F00E0EFB785AC +:0406400040A2CC9F69 +:04064100470567E51D +:0406420004E789231D +:04064300013145013B +:040644000693808217 +:0406450005334670C3 +:04064600069302D540 +:0406470066590640AA +:0406480072460613DD +:0406490046B345C5AA +:04064A00656502D50B +:04064B00AA050513E4 +:04064C0025E0406FF6 +:04064D00000F46B79D +:04064E002406869365 +:04064F0002D5053398 +:04065000879367899C +:0406510007139647AE +:0406520036B73E80F9 +:040653008693006327 +:040654006659EA06F3 +:0406550072C6061350 +:0406560057B345C58C +:04065700F7B302F5FE +:04065800472902E745 +:0406590002D556B3BD +:04065A0005136565BA +:04065B00D733AA05E2 +:04065C00406F02E702 +:04065D00069321C01F +:04065E000505271057 +:04065F0002D5053388 +:0406600006400693B7 +:0406610006136659BD +:0406620045C5738691 +:0406630002D546B3C3 +:0406640005136565B0 +:04066500406FAA0533 +:0406660006931F8058 +:040667000533271020 +:04066800069302D51E +:040669006659064088 +:04066A00738606137A +:04066B0046B345C588 +:04066C00656502D5E9 +:04066D00AA050513C2 +:04066E001D60406F5C +:04066F0086AABFF99F +:0406700065656659FD +:0406710074060613F2 +:04067200051345C562 +:04067300406FAA0525 +:0406740086AA1C0036 +:0406750065656659F8 +:0406760074C606132D +:04067700051345C55D +:04067800406FAA0520 +:0406790086AA1AC073 +:04067A0065656659F3 +:04067B007586061367 +:04067C00051345C558 +:04067D00406FAA051B +:04067E000693198046 +:04067F0006E2F80592 +:040680006565665DE9 +:04068100061386E1F5 +:0406820045C5A48640 +:04068300AA050513AC +:0406840017E0406FCC +:040685000185169342 +:04068600665986E14A +:0406870016D16565BE +:0406880075C606131A +:04068900051345C54B +:04068A00406FAA050E +:04068B00468516404A +:04068C0000A696B37B +:04068D0065656659E0 +:04068E0074060613D5 +:04068F00051345C545 +:04069000406FAA0508 +:04069100050514C087 +:0406920096B3468550 +:04069300665900A6FE +:04069400061365657F +:0406950045C574C61D +:04069600AA05051399 +:040697001320406F7D +:04069800004547834F +:0406990086B24711CD +:04069A0006F7696393 +:04069B00078A67590A +:04069C007787071342 +:04069D00439C97BA29 +:04069E00451C8782EE +:04069F00C703464502 +:0406A000491C0007EA +:0406A10097BA070AF3 +:0406A2006565438CBB +:0406A300AA0505138C +:0406A4003030406F43 +:0406A500491C45188F +:0406A6000007450301 +:0406A700491C8782E1 +:0406A80087824508F8 +:0406A900802367E55E +:0406AA008082AA0799 +:0406AB00E611C195FE +:0406AC00464565D981 +:0406AD007645859376 +:0406AE005063BFC90D +:0406AF006659020680 +:0406B0000613656563 +:0406B10045C576C6FF +:0406B200AA0505137D +:0406B3000C20406F68 +:0406B400DBE9455CDD +:0406B500479C439883 +:0406B6008082B7C1C6 +:0406B700C78367E5A9 +:0406B800C3E101E7B2 +:0406B900C70367E527 +:0406BA0047859D07CC +:0406BB000AF71B63BC +:0406BC00111167654C +:0406BD008607071392 +:0406BE00C826CA225E +:0406BF004401CC0620 +:0406C00047814481A9 +:0406C1006765C23A6D +:0406C20001F74703F2 +:0406C300070E469246 +:0406C4004310973612 +:0406C50000064703E1 +:0406C60000E7CD6319 +:0406C700000247B72F +:0406C8003C97A22396 +:0406C9003C87A423A3 +:0406CA00445240E274 +:0406CB00017144C2B3 +:0406CC004751808290 +:0406CD0002E7873386 +:0406CE00969342506D +:0406CF00C63E0057CC +:0406D000000247B726 +:0406D10000F6853377 +:0406D2009732C03665 +:0406D3004641430C4D +:0406D40040EFC43AF5 +:0406D5004722241084 +:0406D600458547B25D +:0406D700004746038F +:0406D80000F592B3E4 +:0406D9001675C616B6 +:0406DA000FF676138E +:0406DB000054E4B330 +:0406DC0002C5F763F9 +:0406DD004601853A13 +:0406DE00C43E458150 +:0406DF0047B735D50F +:0406E000851300027C +:0406E1004782010744 +:0406E200464165E543 +:0406E3008593953E28 +:0406E40040EFAA0534 +:0406E50042B22010ED +:0406E600643347A290 +:0406E700078500542F +:0406E8008082B79DB8 +:0406E900FD8101137B +:0406EA00D22267654C +:0406EB000487540329 +:0406EC0047036765F4 +:0406ED00D0260427E8 +:0406EE00C03A62E5C7 +:0406EF0057036765E1 +:0406F00064E50407B2 +:0406F100C23A66653E +:0406F20057036765DE +:0406F300D68305079E +:0406F4005303044464 +:0406F500C43A04A659 +:0406F60047036765EA +:0406F70085AA04E7E5 +:0406F800044484939F +:0406F9006765C63A31 +:0406FA0004C75703D7 +:0406FB000462D503BD +:0406FC0004A6061337 +:0406FD006765C83A2B +:0406FE00A1870713B6 +:0406FF0000F743833A +:04070000046282937A +:04070100CC1667E5C6 +:0407020062E5CA26BC +:04070300C783CE32A8 +:0407040082930537A0 +:040705008163B782D3 +:0407060006030803DB +:040707001D6300E787 +:04070800477106F639 +:0407090002E60733CA +:04070A005383971668 +:04070B00916300E70F +:04070C00238306D36A +:04070D0074930107D9 +:04070E00F3930FF55D +:04070F00996301F3F6 +:040710004383049388 +:0407110095630147A4 +:040712004383048396 +:0407130044820127F4 +:040714000493906357 +:0407150000872383B3 +:04071600D3934492A3 +:04071700996301538E +:040718004383029382 +:0407190044A201579E +:04071A00029394634F +:04071B0001374383DC +:04071C009F6344B2E1 +:04071D0057030093EB +:04071E0044C200C70A +:04071F0000971A63C2 +:0407200006334771E4 +:04072100961602E640 +:040722000166470322 +:040723000067066302 +:0407240046056765BA +:0407250004C70923D9 +:0407260087B34771DD +:04072700771302E75B +:0407280092BE01F587 +:040729000102D7836F +:04072A0000D297233F +:04072B0000828A239B +:04072C00E5339B8195 +:04072D00982300E726 +:04072E00478200A25C +:04072F0000628B23B6 +:04073000892365654F +:04073100479200F2F9 +:0407320000579613C3 +:0407330000A2D783C6 +:040734008FD18BFDD9 +:0407350000F2952316 +:040736008AA347A2A9 +:0407370047B200F2D3 +:0407380000F289A39F +:04073900962347C2FA +:04073A0047F200F290 +:04073B0002F59663CA +:04073C00465006938A +:04073D0002D30333AD +:04073E0006400693D8 +:04073F0006136659DE +:0407400046B378C67E +:04074100541202D379 +:0407420045C55482D3 +:04074300AA050513EB +:04074400028101131A +:0407450067B0306FFA +:04074600966347D29D +:04074700665900F5FA +:040748007586061399 +:0407490047E2B7CDFF +:04074A0000F59963BA +:04074B006659469510 +:04074C0002D706B317 +:04074D0079460613D0 +:04074E00D683B7F99E +:04074F00B7C5000525 +:04075000879367E53F +:0407510043980307BF +:04075200671DEF1917 +:04075300978707136A +:0407540002E5053382 +:04075500C406115174 +:04075600E0EFC38885 +:0407570040A2BC8F71 +:040758000131450125 +:040759004505808250 +:04075A001151808237 +:04075B00E0EFC40601 +:04075C0067E5BD8F01 +:04075D000307A78364 +:04075E00353340A24D +:04075F00013100F56F +:04076000473D80820F +:0407610024A7636303 +:04076200445257B7EF +:04076300EDC10113D0 +:0407640035578793EB +:0407650057B7CC3E78 +:040766008793004134 +:04076700CE3E441727 +:0407680000F53793CE +:0407690010812E23AA +:04076A001211202325 +:04076B0010912C239A +:04076C0002F1012372 +:04076D001F63842A58 +:04076E0067950CE59A +:04076F005007879315 +:04077000102365E508 +:04077100462902F122 +:0407720004A0079345 +:04077300A8058593BD +:040774000251051316 +:0407750002F101A3E9 +:040776000201022357 +:04077700FEAFE0EF02 +:04077800C78367E5E7 +:0407790065E503C768 +:04077A0003600613FF +:04077B0002F108235C +:04077C00C78367E5E3 +:04077D008593014718 +:04077E000513AB456F +:04077F0008A3039137 +:0407800067E502F136 +:040781000257C783D1 +:0407820004930820B4 +:0407830007A306F1D1 +:0407840067E502F132 +:0407850001C7C7835E +:0407860002F1092350 +:04078700C78367E5D8 +:0407880009A300B70A +:0407890067E502F12D +:04078A000097C7838A +:04078B0002F10A234A +:04078C00C78367E5D3 +:04078D000AA39C0718 +:04078E0067E502F128 +:04078F0000A7C78375 +:0407900002F10B2344 +:04079100C78367E5CE +:040792000BA39D0711 +:0407930067E502F123 +:040794009D17C78363 +:0407950002F10C233E +:04079600F6EFE0EFAB +:040797000004450312 +:04079800E0EF040585 +:040799000FA3C41FC7 +:04079A0019E3FEA4BD +:04079B0067E5FE947C +:04079C000187A50329 +:04079D00057006934A +:04079E0005B7083063 +:04079F00E0EF001F68 +:0407A0002083C6CF1D +:0407A100240312011A +:0407A200248311C1DA +:0407A30001131181AC +:0407A40080821241FC +:0407A5008793679936 +:0407A6001023800795 +:0407A70007B702F19D +:0407A8008793047CB3 +:0407A90064E5046798 +:0407AA00C783D83EEB +:0407AB00EB89B20420 +:0407AC000613665971 +:0407AD0045B579C60F +:0407AE00B2048513F9 +:0407AF004D3030EFAA +:0407B000B204859377 +:0407B10005134635B1 +:0407B20040EF0231E1 +:0407B30065E56C800C +:0407B40004600613C4 +:0407B500B305859370 +:0407B600E0EF184810 +:0407B70064E5EECF38 +:0407B80009E006133B +:0407B900B7848593E9 +:0407BA0007A105137B +:0407BB00EDAFE0EFCF +:0407BC00C503083C2D +:0407BD00C03E000733 +:0407BE00BABFE0EFEF +:0407BF000A3847822B +:0407C00000A78023EB +:0407C10096E307852F +:0407C2000441FEE709 +:0407C3000FF47413A8 +:0407C4000104179382 +:0407C50067E5C23EE4 +:0407C6000187A503FF +:0407C7001000069385 +:0407C800159308304D +:0407C900E0EF010458 +:0407CA0067E5BC4FD4 +:0407CB000187879388 +:0407CC00F921C63E0B +:0407CD00B7848793D3 +:0407CE0007130442C7 +:0407CF0084931004FB +:0407D000879309E71B +:0407D100C03A49E7FA +:0407D2000413C43E0A +:0407D30006933DE06C +:0407D4008722100068 +:0407D5000086F46343 +:0407D60010000713F5 +:0407D7008341074211 +:0407D80085A6863A32 +:0407D900C83A0828EA +:0407DA00E5EFE0EF78 +:0407DB000834474255 +:0407DC000006C5034B +:0407DD00C836CA3A16 +:0407DE00B2BFE0EFD7 +:0407DF000A3C46C2C8 +:0407E00080234752D9 +:0407E100068500A6E3 +:0407E200FEF694E3A8 +:0407E300460247B2D1 +:0407E400438845926F +:0407E500E0EF083405 +:0407E60014E3A8AFC1 +:0407E7000693EE0582 +:0407E8008722100054 +:0407E90000D4746361 +:0407EA0010000713E1 +:0407EB00F007079379 +:0407EC00010794135A +:0407ED008493478228 +:0407EE008041100432 +:0407EF0010078793D5 +:0407F00047A2C03E1E +:0407F100F8F495E3A0 +:0407F200557DBD6D07 +:0407F300115180829E +:0407F40064E5C026D2 +:0407F5000274849373 +:0407F6000004C50333 +:0407F700C406C22250 +:0407F800842A334DCF +:0407F900C703E51538 +:0407FA0067E50004AB +:0407FB00832346818D +:0407FC0067E502E7C4 +:0407FD000257C78355 +:0407FE0067E5C78163 +:0407FF00A387C68383 +:04080000879367E58E +:0408010097B6A807F7 +:0408020000E7802368 +:040803003B95453D9F +:04080400852240A267 +:0408050044824412D3 +:0408060080820131BA +:04080700EDC101132B +:04080800802367E5FD +:04080900C43EB20730 +:04080A001211202384 +:04080B0010812E2307 +:04080C0010912C23F8 +:04080D00473DC22E73 +:04080E00636357FDCC +:04080F00676506A76C +:040810007793054194 +:0408110025030FF5B7 +:040812009593018732 +:040813000693010740 +:040814000830100098 +:04081500E0EFC03E12 +:040816006765B4CF8F +:040817000713082497 +:0408180087AA018723 +:04081900C63A842631 +:04081A00000445038E +:04081B000405C83ECA +:04081C00A33FE0EF27 +:04081D00FEA40FA383 +:04081E0047C20A388B +:04081F00FE8716E357 +:0408200065D9E3852E +:040821007A458593FC +:04082200E0EF0828D3 +:0408230087AAD88F39 +:040824001E051F632B +:040825000221470362 +:040826004685CF1123 +:040827000ED70D6378 +:040828001201208316 +:0408290011C12403D2 +:04082A001181248391 +:04082B000113853EF2 +:04082C008082124173 +:04082D00020147037A +:04082E001C071D6323 +:04082F0002114683E9 +:040830000550071355 +:040831001CE69763C7 +:040832000241470335 +:0408330002314683C5 +:040834008F550722B3 +:0408350004A0069382 +:04083600FCD714E3F4 +:04083700F369471208 +:040838004781676528 +:04083900071345B9A3 +:04083A004629A8079C +:04083B0000D4C6839C +:04083C0000D5E6639A +:04083D0000F7053388 +:04083E0000D50023BE +:04083F0004850785A0 +:04084000FEC796E376 +:0408410003114783D5 +:040842000A236765B9 +:04084300472500F74E +:0408440000F76663F0 +:040845000E236765B2 +:04084600A02902F7EC +:0408470003014783DF +:04084800FEF77AE35A +:04084900033147032D +:04084A00656567E594 +:04084B0000E785A39A +:04084C00034147031A +:04084D00061367E542 +:04084E0084A303601C +:04084F00470300E774 +:0408500067E5035104 +:040851000391059377 +:040852009CE780237C +:0408530003614703F3 +:04085400051367E53C +:040855008523AB4507 +:04085600470300E76D +:0408570067E50371DD +:040858009CE788236E +:0408590003814703CD +:04085A0088A367E523 +:04085B0047039CE7CC +:04085C0067E502F159 +:04085D0002E782A389 +:04085E00C70367E580 +:04085F0067E5A8079A +:0408600002E7832305 +:040861000321470325 +:040862008E2367E595 +:04086300E0EF00E7DB +:040864004781C38F76 +:040865004483B731E0 +:040866009C6302018C +:0408670046830E04B2 +:04086800071302115F +:04086900966305800D +:04086A0056830EE6BD +:04086B00071303016B +:04086C0097E30460AA +:04086D005403EEE65C +:04086E000713032148 +:04086F0011E347C08A +:0408700047A2EEE4C9 +:040871004635C82A16 +:04087200B207851331 +:0408730002310593B6 +:040874003C2040EFF5 +:0408750047C247121D +:04087600EC0714E394 +:04087700061365659A +:04087800184C0460B4 +:04087900B3050513AB +:04087A00BDEFE0EFFF +:04087B0067654782E4 +:04087C00B787071320 +:04087D00C23E07A2CE +:04087E000793C0021A +:04087F00C43A062051 +:040880004682472243 +:04088100100006134A +:0408820005338E1D8F +:04088300083800D75A +:0408840000F705B3C1 +:0408850006C44563FD +:04088600E0EFC83E99 +:0408870047C2BACFDB +:04088800048547029A +:040889008F1D943EED +:04088A00F49347920A +:04088B0007130FF44C +:04088C0085B3100719 +:04088D0047B200F47A +:04088E008341074259 +:04088F000413438883 +:040890000693F004D7 +:04089100083010001B +:04089200C03A05A2C1 +:04089300E0EF04424C +:040894008041954FBB +:04089500083887AAEE +:04089600000745030F +:04089700C83ACA3E53 +:04089800843FE0EFCA +:040899000A34474294 +:04089A00002347D21E +:04089B00070500A7A6 +:04089C00FEE694E3FD +:04089D00E20796E3F5 +:04089E00F059478145 +:04089F008622A021EC +:0408A000B46FE0EF62 +:0408A100470567E5BB +:0408A20004E78923BB +:0408A3004785B719B5 +:0408A4004789BD01C2 +:0408A5001151B53107 +:0408A60064E5C0261F +:0408A70002748493C0 +:0408A8000004C50380 +:0408A900C2224581A1 +:0408AA00F0EFC406A1 +:0408AB00842AD73F85 +:0408AC00C703E1217C +:0408AD0067E50004F7 +:0408AE00832346A9B1 +:0408AF0067E502E710 +:0408B000B757C783EC +:0408B10000D7856384 +:0408B2008E2366E546 +:0408B30067E502F6FD +:0408B4000257C7839D +:0408B500C781468130 +:0408B600C68367E5A9 +:0408B70067E503C727 +:0408B800A807879373 +:0408B900802397B64B +:0408BA00453D00E7D1 +:0408BB00A97FF0EF32 +:0408BC00852240A2AF +:0408BD00448244121B +:0408BE008082013102 +:0408BF004585114119 +:0408C000C02AC6067E +:0408C100D19FF0EFE4 +:0408C200478367659C +:0408C3004682B207B0 +:0408C400B20707135D +:0408C5006759E78107 +:0408C6007AC70713D3 +:0408C700665940B27C +:0408C8000613656549 +:0408C90045C57B4660 +:0408CA00AA05051363 +:0408CB00306F014148 +:0408CC0011210610E0 +:0408CD00C822676571 +:0408CE000387041385 +:0408CF00CA06401CF9 +:0408D000000216B755 +:0408D100F9F7F793A9 +:0408D200C626C01C5A +:0408D300A023C02E70 +:0408D40005930EF684 +:0408D50084AA3200BF +:0408D600F0EF4505F5 +:0408D7000513C1CF75 +:0408D800D0EF190044 +:0408D900401CD9DF07 +:0408DA00000216B74B +:0408DB00E79345C199 +:0408DC00C01C020733 +:0408DD000EF6A02350 +:0408DE0040EF85263C +:0408DF00779323C028 +:0408E000EB890FF59C +:0408E100464565E142 +:0408E200D9C585935C +:0408E30040EF852637 +:0408E40047852040E4 +:0408E50086B347018E +:0408E600C50300E462 +:0408E70045D10006F1 +:0408E800C23AC43E0E +:0408E900BD2FF0EF40 +:0408EA0047A24712C8 +:0408EB0076930705F4 +:0408EC00E2E30FF73D +:0408ED00401CFEF6B7 +:0408EE00000214B739 +:0408EF00F79345D165 +:0408F000C01CFDF734 +:0408F1000EF4A0233E +:0408F2000C000513DE +:0408F300BAAFF0EFB9 +:0408F4004502401C5D +:0408F500E79345C17F +:0408F600C01C020719 +:0408F7000EF4A02338 +:0408F8001D6040EF50 +:0408F9000FF57493F0 +:0408FA004502E88942 +:0408FB00464565E128 +:0408FC00D9C5859342 +:0408FD0019E040EFCF +:0408FE004781448565 +:0408FF0045D1470296 +:04090000973EC23E1E +:0409010000074503A3 +:04090200B6EFF0EF6D +:04090300078547928B +:040904000FF7F713DF +:04090500FE9764E312 +:0409060040D2401C7F +:04090700000217379C +:040908000407E79366 +:040909004442C01C88 +:04090A000EF72023A1 +:04090B00016144B290 +:04090C001141808293 +:04090D00C226C42218 +:04090E004785C6064D +:04090F00646564E5D2 +:0409100000F5086383 +:04091100468367654D +:0409120047099D07ED +:0409130004E69463FF +:040914008593464140 +:040915004537A8C4F6 +:0409160040EF0002AC +:0409170047B713804B +:040918008513000241 +:04091900464102074A +:04091A00AA04059393 +:04091B00126040EF37 +:04091C00000247B7D7 +:04091D003C07A623CA +:04091E00A223470DBC +:04091F00A4233CE7EA +:0409200005933C07F8 +:040921004422AA04BE +:04092200851340B247 +:040923004492A8C48E +:04092400B545014193 +:04092500FEE517E3F1 +:0409260047036765B7 +:04092700464101F74D +:04092800AA04059385 +:0409290000371693EA +:04092A0007136765E3 +:04092B00973686076E +:04092C0000474683B7 +:04092D000002473746 +:04092E0000569513C7 +:04092F00953A0541AF +:0409300040EFC0369E +:0409310046820D00ED +:040932000002473741 +:040933003C872603D4 +:0409340097B34785A9 +:040935008FD100D787 +:040936003CF7242343 +:0409370067E5B75D5C +:0409380001D7C78399 +:04093900FD81011328 +:04093A000785D0223B +:04093B000FF7F79328 +:04093C00646507B235 +:04093D000713C83E96 +:04093E00431C0384CF +:04093F00D20646C2D4 +:040940008FD5CE265B +:040941008FD566A147 +:04094200C202C31C0E +:040943000002173760 +:0409440064E5C402A0 +:0409450020236659AC +:0409460006130EF78F +:0409470045C57BC661 +:04094800AA04851365 +:0409490066A030EF85 +:04094A00372145010B +:04094B00177D67416C +:04094C0004134785C4 +:04094D00CA3A03841B +:04094E000002173755 +:04094F000D072683E7 +:040950008EF146528C +:04095100E7C5C636FA +:0409520067E5C2DDB6 +:04095300AB47879394 +:040954000167D6035E +:04095500401445B253 +:040956000EB61F6357 +:0409570017FD77F51C +:04095800C01C8FF53B +:040959000EF7202352 +:04095A0080234501B0 +:04095B0035D1AA04E4 +:04095C000006253735 +:04095D00A8050513D1 +:04095E00B87FD0EF9F +:04095F004742401CAF +:040960000513669184 +:040961008FD93E806C +:04096200C01C8FD551 +:040963000002173740 +:040964000EF7202347 +:04096500FACFF0EFE6 +:04096600FD2FF0EF82 +:04096700106347854D +:0409680027B702F5B6 +:04096900479C0002A5 +:04096A0001079713D7 +:04096B00C23A8341C8 +:04096C000047971396 +:04096D00C43A8351B4 +:04096E00DFE3CC3EB9 +:04096F0067E5FC0735 +:040970000207A823AF +:04097100EB9D479221 +:0409720006136659A9 +:0409730045C57C8674 +:04097400AA04851339 +:040975005BA030EF64 +:040976003DA1450159 +:040977007771401C38 +:040978008FF9177D5F +:040979001737C01C50 +:04097A002023000234 +:04097B0065090EF705 +:04097C0071050513E9 +:04097D00B0BFD0EF48 +:04097E00BF3D47B280 +:04097F004752479202 +:0409800000E7966393 +:04098100061366599A +:04098200B7D17D86E6 +:0409830045A2471230 +:04098400079366056A +:04098500167D064095 +:0409860002F756B36B +:0409870002F77733C9 +:0409880000C59B63A8 +:040989000613665992 +:04098A0045C57E06DB +:04098B00AA04851322 +:04098C0055E030EF13 +:04098D004622B755F2 +:04098E00851345C5C3 +:04098F007633AA040D +:04099000C03202F679 +:0409910057B34622F0 +:04099200665902F6AA +:040993007EC6061303 +:0409940053E030EF0D +:04099500D783B751FC +:04099600463201875D +:04099700F8C790E32A +:0409980017FD77C50B +:04099900C01C8FF5FA +:04099A005402509221 +:04099B000EF7202310 +:04099C00450144F2DB +:04099D0002810113BF +:04099E0067E5808207 +:04099F0001E7C78322 +:0409A0001151E3B559 +:0409A100C222C406A4 +:0409A200C909C02699 +:0409A30000024737D0 +:0409A4003C07278362 +:0409A5000027E793AD +:0409A6003CF72023D7 +:0409A700859364E5EB +:0409A8004641AEC452 +:0409A90000024537CC +:0409AA006EB030EF0C +:0409AB0000024437CB +:0409AC00859367E5E3 +:0409AD000513B00777 +:0409AE0046410204B8 +:0409AF006D7030EF48 +:0409B0002623470DA6 +:0409B10022233C04BD +:0409B20024233CE4DA +:0409B30044123C04AA +:0409B400851340A2C5 +:0409B5004482AEC406 +:0409B600859367E5D9 +:0409B7000131B00753 +:0409B800C53FF06FD8 +:0409B90067E58082EC +:0409BA004705636525 +:0409BB0002E78A23A2 +:0409BC00A183079379 +:0409BD007159479C89 +:0409BE00D686D2A661 +:0409BF000493D4A227 +:0409C0008963A18323 +:0409C100409814073F +:0409C20014070663AD +:0409C300019C073755 +:0409C400CC07071342 +:0409C50002F75333AF +:0409C600C43740DC16 +:0409C7000413A0EE87 +:0409C8005433B004F0 +:0409C900051302F41C +:0409CA00C21A03B09A +:0409CB00DA2FE0EF50 +:0409CC004783C62A6D +:0409CD00051300C14D +:0409CE00CC3E03C058 +:0409CF00D92FE0EF4D +:0409D0000204C783D3 +:0409D10000C4C60395 +:0409D2009713431222 +:0409D30067DD0027B5 +:0409D400748787930A +:0409D500439497BAF6 +:0409D60007934098AB +:0409D700E21907001A +:0409D80006900793EB +:0409D9006565665D8D +:0409DA00800606137A +:0409DB00051345C5F6 +:0409DC00C21AAEC5C8 +:0409DD0041A030EF16 +:0409DE000793431226 +:0409DF000693064035 +:0409E00065653E808B +:0409E100773345C55E +:0409E200051302F403 +:0409E3007633B005B2 +:0409E400C03A02D340 +:0409E5005733472914 +:0409E600665D02E662 +:0409E70080C60613AD +:0409E80002F457B30B +:0409E90002D356B32C +:0409EA003E6030EF4C +:0409EB0035F1450598 +:0409EC00C703409C61 +:0409ED00C28303645A +:0409EE00C83E047487 +:0409EF0000C4C783F6 +:0409F00002010BA352 +:0409F100CA3E638512 +:0409F200DC3E47910F +:0409F30097B347A1CE +:0409F400C70300E74E +:0409F500E79303740D +:0409F600DE3E00875A +:0409F7004000079322 +:0409F80000E797B3CA +:0409F9000384C703A9 +:0409FA0007B7C0BEBD +:0409FB0097B30002AC +:0409FC00C70300E746 +:0409FD00C2BE0394DF +:0409FE00004007B7F7 +:0409FF0000E797B3C3 +:040A00000793C4BED6 +:040A0100C6BE0371F9 +:040A020003B487931F +:040A03008793C8BE4F +:040A0400CABE03C49F +:040A050003D48793FC +:040A06008793CCBE48 +:040A0700CEBE03E478 +:040A080003F48793D9 +:040A0900C783D0BE11 +:040A0A004701048418 +:040A0B0067E5C43E99 +:040A0C00B78786938F +:040A0D00B78787938D +:040A0E00F793C23E5A +:040A0F00D03E0FD2F4 +:040A100001871793B0 +:040A1100CE3E87E16D +:040A120002900793B4 +:040A130000F71B636A +:040A1400AE994791BF +:040A1500679164057C +:040A1600770404134A +:040A1700D547831329 +:040A18004671B5D995 +:040A190002C70633D7 +:040A1A00458D47922D +:040A1B004A5C963E5D +:040A1C00896383F572 +:040A1D0045111AB7AE +:040A1E001AA78D6323 +:040A1F009D63458905 +:040A2000059306B77D +:040A2100C0AE100053 +:040A2200C2AE65C13A +:040A230040000593F7 +:040A24004A10C4AE02 +:040A25001C10059309 +:040A260076138215AC +:040A27001D637FF6D6 +:040A2800D43E04B6FE +:040A2900859367DD6D +:040A2A008536824744 +:040A2B00D83A461D52 +:040A2C00D236D616D2 +:040A2D004B1030EF4B +:040A2E0057A25692E3 +:040A2F00574252B226 +:040A3000E5116385E4 +:040A3100EA0D462262 +:040A320006F10705BD +:040A3300D43EBF9559 +:040A3400859367DD62 +:040A3500853682C7B9 +:040A3600D83A461D47 +:040A3700D236D616C7 +:040A3800485030EF03 +:040A390057A25692D8 +:040A3A00574252B21B +:040A3B00E5096385E1 +:040A3C00460545A284 +:040A3D00FCC58AE387 +:040A3E0006334671C4 +:040A3F00459202C713 +:040A40005583962E16 +:040A4100464500A680 +:040A42008B6389FD3C +:040A4300861300C551 +:040A44008A7D00D5D2 +:040A4500756345058B +:040A4600467D00C524 +:040A470000C59763EC +:040A48000613660526 +:040A490061E357B658 +:040A4A0010C8FA8650 +:040A4B0000279613D7 +:040A4C002603962ABD +:040A4D00A083FE86FE +:040A4E0046030186D4 +:040A4F00060A00068D +:040A50002603962AB9 +:040A5100F633FD4635 +:040A5200DE3D00C0C5 +:040A5300C513435232 +:040A54008905FFF021 +:040A5500F6A31AE307 +:040A560005334571AE +:040A5700431202A79D +:040A58004908951A9A +:040A5900811543427E +:040A5A007FF575139C +:040A5B006DE30579C9 +:040A5C004505F465F3 +:040A5D0000A48B2343 +:040A5E0000049923D4 +:040A5F0000048AA362 +:040A600000048C23DF +:040A61006521CCD06F +:040A620036A60E6343 +:040A630014C56663ED +:040A64001000051366 +:040A650032A6026350 +:040A660010C56263F2 +:040A67000F63454193 +:040A68006B6310A606 +:040A690045110CC562 +:040A6A001CA6036360 +:040A6B001DE345A1A1 +:040A6C004685F0B615 +:040A6D0000D4882306 +:040A6E000554C683E2 +:040A6F002E06956357 +:040A700006B346F192 +:040A7100461202D750 +:040A7200D60396B25F +:040A7300069300E600 +:040A7400EA6357706A +:040A750096932CC662 +:040A7600566301D7EB +:040A7700468D2CD0AC +:040A780000D488A37B +:040A79008B234689FC +:040A7A00869300D48B +:040A7B00F693FFE708 +:040A7C00CE990FD62A +:040A7D0094634695A3 +:040A7E0047F12ED737 +:040A7F0002F7073340 +:040A8000973E4792C4 +:040A810000E7570330 +:040A82004AF007939C +:040A83002CE7E96310 +:040A840004A4C7837C +:040A85002C07826355 +:040A8600000488A33D +:040A87008B234789ED +:040A8800AC7500F455 +:040A89004000061310 +:040A8A000637C0B2B9 +:040A8B00C2B20002F1 +:040A8C005503B5E178 +:040A8D00460900A670 +:040A8E001E63897DDD +:040A8F00866300C5B5 +:040A90004605000215 +:040A9100EAC28AE348 +:040A920045E2B54143 +:040A93000520061321 +:040A9400EAB674E367 +:040A95004E90BD952D +:040A960020067613AD +:040A970095E3C61904 +:040A98000613E6B2A9 +:040A9900DE32200029 +:040A9A004605BD410F +:040A9B00E8C516E3B1 +:040A9C001BE3560200 +:040A9D00B549E4066D +:040A9E0004000793B6 +:040A9F0000F60A63F0 +:040AA00008000793B0 +:040AA10022F60463D2 +:040AA20002000793B4 +:040AA300E2F61EE376 +:040AA4003010079374 +:040AA50000F498239E +:040AA600B75147916C +:040AA70024760B6343 +:040AA80002C3E3633F +:040AA9004000059371 +:040AAA0020B60E6301 +:040AAB008006079327 +:040AAC0022078C632E +:040AAD00200007938B +:040AAE00E0F618E373 +:040AAF002010079379 +:040AB00000F4982393 +:040AB1006789BFA1F1 +:040AB20022F60863BD +:040AB3001DE3679147 +:040AB4000793DEF6D0 +:040AB500BF7D2020C1 +:040AB600002005B760 +:040AB70024B60863F6 +:040AB80002C5EE6322 +:040AB900000405B779 +:040ABA0022B60C63F1 +:040ABB0000C5ED6322 +:040ABC000C6365C1A1 +:040ABD0005B720B6A3 +:040ABE0017E3000238 +:040ABF00470DDCB64D +:040AC00000E4982393 +:040AC10007B7A2E1F0 +:040AC2000E630008B7 +:040AC30007B720F65B +:040AC4001BE3001020 +:040AC5000793DAF6C3 +:040AC600BFAD203070 +:040AC700010007B76C +:040AC80020F60F63A2 +:040AC90002C7E46319 +:040ACA00004007B72A +:040ACB0020F60363AB +:040ACC00008007B7E8 +:040ACD00D8F61AE35A +:040ACE00204007932A +:040ACF0000F4982374 +:040AD0008B23478DA0 +:040AD100079300F493 +:040AD200AAC1028033 +:040AD300020007B75F +:040AD40020F60063A5 +:040AD500040007B75B +:040AD600D6F618E355 +:040AD7002040079321 +:040AD80000F498236B +:040AD9008B2347998B +:040ADA0047D100F40C +:040ADB009823A27D3D +:040ADC008AA30004E5 +:040ADD00F71300B457 +:040ADE0046850057F2 +:040ADF0000D70963D0 +:040AE00090634709CF +:040AE100F09302E7A5 +:040AE2008C63002001 +:040AE300C7830000C5 +:040AE400866304A47D +:040AE5004789100726 +:040AE60000F48B236A +:040AE70089A3478513 +:040AE8008E6300F425 +:040AE90047F21205B9 +:040AEA0000F487236A +:040AEB0000E4878319 +:040AEC0046126765E2 +:040AED0004F70A23DD +:040AEE008733477192 +:040AEF00C68302E7D1 +:040AF00097320164D4 +:040AF10046154B005B +:040AF2000433887DC4 +:040AF300043302D4F2 +:040AF400061302C41F +:040AF50004130640A0 +:040AF600443303245E +:040AF700560302C4DC +:040AF80086B300E7DA +:040AF900666502C666 +:040AFA0003F60613E6 +:040AFB0004429436E7 +:040AFC009D23804175 +:040AFD004703008427 +:040AFE00C432017786 +:040AFF0001F77693F2 +:040B000000638B21E2 +:040B01006765160707 +:040B020003E74603BC +:040B030047214689B7 +:040B040000C6F363D1 +:040B050046A247417C +:040B060000E6802362 +:040B0700449047329D +:040B08000FF77593DB +:040B0900028585B329 +:040B0A0016934722D5 +:040B0B0082C101069C +:040B0C004703C6369F +:040B0D004681000716 +:040B0E0002C5D53314 +:040B0F000494C58302 +:040B100046F1C98958 +:040B110002D787B3CD +:040B120097B64692BA +:040B130082854F94F4 +:040B140045F98A8590 +:040B150002B405B36E +:040B160082410642D0 +:040B17000FF6F7934B +:040B180057D9C83EA3 +:040B190002C5C5B399 +:040B1A00C5B30595C5 +:040B1B00C78302F595 +:040B1C00879305D4E2 +:040B1D0007E2F807EC +:040B1E0095AA87E12C +:040B1F000FF5F59346 +:040B200005C295BEB7 +:040B210081C14785C2 +:040B22000EF7016366 +:040B2300056347A17E +:040B2400059930F708 +:040B250085C105C2BF +:040B260046C14789F4 +:040B27004785A8E175 +:040B280000F4892329 +:040B290088A3BDE5FB +:040B2A00B38100048F +:040B2B0030100793EC +:040B2C0000F4982316 +:040B2D00B3A547998C +:040B2E0020100793F9 +:040B2F0000F4982313 +:040B3000BBB1479579 +:040B310098234709B5 +:040B3200470D00E487 +:040B330000E79963DB +:040B340004A4C783CB +:040B3500D40794E36A +:040B36008923478543 +:040B3700C78300F47C +:040B38008AA305C4C3 +:040B3900B5C100F44E +:040B3A0010200793ED +:040B3B0000F4982307 +:040B3C000793B7FD67 +:040B3D00B3E92020D8 +:040B3E0020200793D9 +:040B3F0000F4982303 +:040B4000BB31478DF1 +:040B410020200793D6 +:040B42000793BF5501 +:040B430098232020B3 +:040B4400479D00F4D5 +:040B450000F48B230A +:040B46008C2347B500 +:040B4700B7C100F43E +:040B480020300793BF +:040B49000793BB71E2 +:040B4A00BFC92030CF +:040B4B0020300793BC +:040B4C004791B771A5 +:040B4D0000F49823F5 +:040B4E000780079382 +:040B4F000793BFF950 +:040B50009823204086 +:040B5100479100F4D4 +:040B520000F48B23FD +:040B5300B7F147F9B6 +:040B540020400793A3 +:040B550000F49823ED +:040B56008B23479511 +:040B570047E100F47E +:040B58004722BF6D04 +:040B590000D700239E +:040B5A000589BD55F7 +:040B5B0085C105C289 +:040B5C0046994785EA +:040B5D0000D7863304 +:040B5E002205C86341 +:040B5F0000D5853305 +:040B6000051397AA38 +:040B610055630FF0D9 +:040B6200059300F502 +:040B63008D910FF071 +:040B64000FF5F793FF +:040B6500451585BEEF +:040B6600D032CC3A83 +:040B6700CE36CA3E7E +:040B6800F79FD0EF34 +:040B6900451946F2F2 +:040B6A00D0EF85B68D +:040B6B000593F6FFF9 +:040B6C000513080065 +:040B6D00D0EF026063 +:040B6E0047D2F63F35 +:040B6F000513560212 +:040B700085B3031036 +:040B7100F59300C731 +:040B7200D0EF0FF5BC +:040B73004762F4FFE2 +:040B740045B547C17B +:040B750000F703631F +:040B7600051345A579 +:040B7700D0EF022099 +:040B78004642F3BF3F +:040B7900852245B2DA +:040B7A00FCBFD0EFFD +:040B7B000514C50395 +:040B7C00A48FE0EF73 +:040B7D0004B4C503F4 +:040B7E00F86347854C +:040B7F0047A200A7E2 +:040B80000007C503A2 +:040B810035131561B2 +:040B820047E1001532 +:040B830002F505333F +:040B8400879367DD0F +:040B8500953E7747DB +:040B8600866FE0EFA7 +:040B870000E487837C +:040B8800C583477169 +:040B890087B30164C9 +:040B8A00471202E725 +:040B8B00C50397BA4D +:040B8C00E0EF01672E +:040B8D00C783962F55 +:040B8E008BA3010430 +:040B8F0086B700A481 +:040B9000B713000295 +:040B9100429C00176B +:040B92008FD99BF963 +:040B9300C783C29CB6 +:040B9400470D010404 +:040B950014F76C6382 +:040B96006B63470541 +:040B9700956314F757 +:040B9800478500E7A6 +:040B990002F480A33F +:040B9A000214C50379 +:040B9B00995FE0EF8F +:040B9C000104C70386 +:040B9D0014071063C6 +:040B9E0000E4878365 +:040B9F0087B3477160 +:040BA000471202E70F +:040BA100D70397BA25 +:040BA2004F9C00C79D +:040BA3002BD7371302 +:040BA40000174713DC +:040BA50097BA8B85EB +:040BA60000024637CC +:040BA70038060613F3 +:040BA80075F9423465 +:040BA9007FF58593BC +:040BAA008EED8B8DB4 +:040BAB008FD507AE2D +:040BAC00423CC23CC9 +:040BAD0000D71693C4 +:040BAE00177D7769CF +:040BAF008F558F7D52 +:040BB000D0EFC23888 +:040BB100C703F68FF1 +:040BB2004789045417 +:040BB3000124C683D0 +:040BB400076344018E +:040BB500C40300F77E +:040BB6008C15013465 +:040BB70000143413DF +:040BB800453D4581F1 +:040BB900D0EFC23681 +:040BBA000513CE7FD2 +:040BBB00D0EF0590E2 +:040BBC007593C9DF85 +:040BBD00E80902F54C +:040BBE009793469231 +:040BBF008DDD006662 +:040BC0000FF5F593A5 +:040BC1000105E593B2 +:040BC2000590051382 +:040BC300CC1FD0EF84 +:040BC40007B7449893 +:040BC5008793019C75 +:040BC600D7B3CC07CE +:040BC700D70302E767 +:040BC800C60301A4BB +:040BC900468D011440 +:040BCA0002E787B304 +:040BCB000104C70357 +:040BCC0087B30705DF +:040BCD00C70302E771 +:040BCE0007050124F2 +:040BCF0002E787B3FF +:040BD0002023676512 +:040BD100071302F70D +:040BD200186302079B +:040BD300838508D638 +:040BD4004314C31CE7 +:040BD50005110737C8 +:040BD600F407071306 +:040BD7006B63478580 +:040BD800773700D794 +:040BD9000713047882 +:040BDA0047818BF7CD +:040BDB0000D7746368 +:040BDC000144C78386 +:040BDD000544C70301 +:040BDE000454C58373 +:040BDF00C703E70958 +:040BE0000363014466 +:040BE100542606F799 +:040BE2008A2350B65C +:040BE300549600F430 +:040BE4006165852E94 +:040BE500CE8FE06F60 +:040BE60003258593CB +:040BE70085C105C2FD +:040BE800069347A188 +:040BE900B3F902005A +:040BEA00B3DD4581B1 +:040BEB00BD454711AC +:040BEC00BD4D47892B +:040BED000114C783A5 +:040BEE000124C68395 +:040BEF00B79317F5AC +:040BF0008F950017C6 +:040BF10000178693D0 +:040BF20000373793FE +:040BF3000017C7938D +:040BF400F79397B626 +:040BF50047010FF7AE +:040BF6004585B5C1BB +:040BF700F6B61BE350 +:040BF800D7B3078ADE +:040BF900B7AD02D7BB +:040BFA008063478944 +:040BFB00C50302F537 +:040BFC00C19101544E +:040BFD0054264589AC +:040BFE000134C68375 +:040BFF000464C603C1 +:040C0000549650B600 +:040C0100E06F6165DA +:040C020050B6B4CF65 +:040C03005496542689 +:040C04008082616524 +:040C0500A70367E5F5 +:040C060067E5028715 +:040C0700AB478793DD +:040C0800464546AD6A +:040C09000167D58327 +:040C0A0004E59F63FB +:040C0B000FF6F69357 +:040C0C000A63E1197D +:040C0D001121280782 +:040C0E0064E5C626AD +:040C0F0001F4C50324 +:040C10006465C8222D +:040C110086040793BB +:040C12000035161380 +:040C1300CA0697B2C4 +:040C1400C783438CC3 +:040C150047510047FC +:040C16000045A303EF +:040C170002E7873336 +:040C180001F48493CC +:040C19008604041336 +:040C1A004339971AA9 +:040C1B0002D363633A +:040C1C00636343319A +:040C1D0047AD04D308 +:040C1E000CF68063ED +:040C1F00086686637A +:040C200047014781C0 +:040C21000685A0F1B3 +:040C22009DE30789BE +:040C23004681F8C648 +:040C24004783B74506 +:040C2500460D004731 +:040C260016C79B63EF +:040C270045BD475030 +:040C280047834218A4 +:040C29009C630007C1 +:040C2A00C7811EB6AA +:040C2B00F79317FD27 +:040C2C0000230FF79B +:040C2D00B7E900F72C +:040C2E000047450333 +:040C2F0015754705EB +:040C30000FF5751334 +:040C310000A76E6347 +:040C32000002453740 +:040C33003C852303D6 +:040C340000F717337B +:040C3500FFF747136B +:040C360000677733A9 +:040C37003CE5242351 +:040C38009C6347353D +:040C3900E39900E655 +:040C3A000005C78367 +:040C3B00F79317FD17 +:040C3C0096220FF7F6 +:040C3D0000F6022398 +:040C3E00C703B761D0 +:040C3F000785000520 +:040C4000E7B396225E +:040C4100B7FD02E712 +:040C4200157DC5193E +:040C430000A4802366 +:040C44009CDFE0EF62 +:040C450067E5B7B5F3 +:040C460000078F23F1 +:040C47000002473729 +:040C48003C072783BB +:040C49009BED4501D9 +:040C4A003CF7202330 +:040C4B0040D244420D +:040C4C00016144B24C +:040C4D00D46FF06F01 +:040C4E000047478391 +:040C4F008163468DEA +:040C500046910AD7E8 +:040C5100F2D79EE355 +:040C52009782471C22 +:040C5300470587AA20 +:040C54000004C6834F +:040C5500656545D1BB +:040C560096A2068ECE +:040C5700C68342907E +:040C5800051300463A +:040C59004250A8C598 +:040C5A0002B686B3A5 +:040C5B00C23AC43E97 +:040C5C00428C96B27E +:040C5D00C036464512 +:040C5E0041A030EF92 +:040C5F0047A247124F +:040C600085BA468289 +:040C61008536863E10 +:040C62008D9FE0EF93 +:040C63000004C7833F +:040C640044B765E547 +:040C6500078E0002F4 +:040C66004503943E70 +:040C670046410044BE +:040C6800AA058593C1 +:040C69000541051626 +:040C6A0030EF9526AC +:040C6B0046033E807E +:040C6C004785004474 +:040C6D0000C797B372 +:040C6E003CF4A62389 +:040C6F00EB09471234 +:040C70004611468261 +:040C71000046C7036F +:040C72000EC7156331 +:040C7300C76D46D82B +:040C740000024737FC +:040C75003C8726830F +:040C760024238FD5CF +:040C7700A8E93CF7B5 +:040C7800C7814B1CC9 +:040C79009782C03A64 +:040C7A00C7834702E3 +:040C7B004714000416 +:040C7C00971307853E +:040C7D000633003703 +:040C7E00420C00E440 +:040C7F0000D58463B5 +:040C80000006022345 +:040C8100C3149722DF +:040C820000F48023D7 +:040C83006963B711D9 +:040C8400460902F625 +:040C850004C78863B5 +:040C8600433D470C97 +:040C870000C745035A +:040C880000D7460348 +:040C89000005C78318 +:040C8A0000E7470335 +:040C8B000266916309 +:040C8C0000F67C638F +:040C8D00F71317FD45 +:040C8E0080230FF7B9 +:040C8F00B58900E53E +:040C90008DE3461199 +:040C9100BD2DE4C7CA +:040C92008732F96D3F +:040C9300F863B7FD4E +:040C9400078500E7E9 +:040C95000FF7F6134C +:040C960000C58023F2 +:040C9700FD6DB51525 +:040C9800BFDD863AFC +:040C9900453D470C82 +:040C9A0000C7560336 +:040C9B000005D783F6 +:040C9C0000E7570313 +:040C9D0000A69E63AC +:040C9E0000F6776382 +:040C9F00971317FD93 +:040CA0008341010784 +:040CA100C211A019C3 +:040CA20090238732E2 +:040CA300BBCD00E5E0 +:040CA40000E7F4630E +:040CA500B7E5078523 +:040CA6004701FA6D9B +:040CA7004683B7FDCC +:040CA800F5630046AA +:040CA900078500D7E4 +:040CAA000FF7F693B7 +:040CAB0000D700234B +:040CAC00460DBBC175 +:040CAD00F0C70CE39D +:040CAE0040D24442AA +:040CAF00450144B205 +:040CB000F06F01617F +:040CB1008082970F97 +:040CB20085AA862E5B +:040CB30000021537EF +:040CB400020505131D +:040CB500A48FD06FC9 +:040CB600179311116E +:040CB700CA220185C7 +:040CB800CC06C82678 +:040CB900842A87E121 +:040CBA00DD6384AEC4 +:040CBB004581000768 +:040CBC0007700513A5 +:040CBD0001A337D583 +:040CBE00478500A1C5 +:040CBF000AA7E2633B +:040CC00007F47413AE +:040CC10086FFD0EFEB +:040CC2004501459112 +:040CC30067653F75AD +:040CC4000387071388 +:040CC500F793431C42 +:040CC600C31CF7F75D +:040CC70000021737D9 +:040CC8000EF72023E0 +:040CC9000184D79338 +:040CCA0000F102A390 +:040CCB000104D793B6 +:040CCC0000F103230D +:040CCD000084D79335 +:040CCE0000F103A38B +:040CCF00008102237B +:040CD0000091042368 +:040CD1000400071301 +:040CD200095007932B +:040CD30000E40963CD +:040CD400048007137E +:040CD50014634785D8 +:040CD600079300E49C +:040CD7001537087055 +:040CD80046190002B7 +:040CD9000513004CB3 +:040CDA0004A3020568 +:040CDB00D0EF00F165 +:040CDC0045159DAF6E +:040CDD009CDFE0EFC9 +:040CDE004585842A9A +:040CDF0000310513C8 +:040CE000078337A1AE +:040CE100D7630031A4 +:040CE200E0EF000738 +:040CE30047859E1F84 +:040CE400FEF505E331 +:040CE50067E5E401DA +:040CE6000207A82336 +:040CE7000031450390 +:040CE800445240E250 +:040CE900017144C28F +:040CEA0067E58082B8 +:040CEB00B1478793F3 +:040CEC0011314798E3 +:040CED00C806C6224D +:040CEE00440DC426C7 +:040CEF000CB76B6370 +:040CF0000047C7836F +:040CF1008BA184AAA5 +:040CF20005A6E391DF +:040CF3000580051360 +:040CF400F09FF0EF8E +:040CF500ED4D842A13 +:040CF60000021537AC +:040CF700051357F991 +:040CF80046050205A6 +:040CF90000710593EE +:040CFA0000F103A35F +:040CFB0095CFD0EFD2 +:040CFC0000021737A4 +:040CFD000513478113 +:040CFE0086B30207B0 +:040CFF00C68300F4B4 +:040D0000460500069E +:040D010000710593E5 +:040D020003A3C03E49 +:040D0300D0EF00D15C +:040D0400478293AFE0 +:040D0500000217379A +:040D06002000069330 +:040D07000513078544 +:040D08009CE302075F +:040D090054FDFCD7C2 +:040D0A000593460502 +:040D0B0003A30071CD +:040D0C00D0EF009193 +:040D0D001737916F94 +:040D0E0005130002C7 +:040D0F00460502078C +:040D100000710593D6 +:040D1100009103A3A7 +:040D1200900FD0EF7F +:040D130005134585FA +:040D1400F0EF00718B +:040D15004783E77FAA +:040D1600471500710C +:040D170090638BFD5D +:040D1800051304E7D4 +:040D1900E0EF0FA058 +:040D1A0045858DBFBF +:040D1B00007105134B +:040D1C00E59FF0EF70 +:040D1D00007144839A +:040D1E00E0EFE4918D +:040D1F0047858F1F56 +:040D2000FEF505E3F4 +:040D2100A82367E5B7 +:040D2200E48102075F +:040D2300A0114411C6 +:040D240040C244097C +:040D250044328522AD +:040D2600015144A291 +:040D2700441580826D +:040D280067E5BFCDEF +:040D2900B1478793B4 +:040D2A0011314798A4 +:040D2B00C806C6220E +:040D2C00C02AC426EF +:040D2D006F63440D9F +:040D2E00C78300B7C0 +:040D2F0084B2004743 +:040D3000E3918BA11F +:040D3100051305A6FB +:040D3200F0EF0510C9 +:040D3300842AE0FF2F +:040D34004409C901A4 +:040D3500852240C211 +:040D360044A244325D +:040D37008082015164 +:040D38000640051359 +:040D390085DFE0EF83 +:040D3A0005134585D3 +:040D3B00F0EF007164 +:040D3C004703DDBFCD +:040D3D0007930071A7 +:040D3E0017630FF038 +:040D3F00E0EF00F7EA +:040D4000478586DF7E +:040D4100FEF502E3D6 +:040D420000714703F2 +:040D4300A82367E595 +:040D44000793020708 +:040D45001EE30FE0BA +:040D46004502FAF771 +:040D4700F0EF85A69E +:040D48000593DABF76 +:040D49008D85202054 +:040D4A0081C105C29C +:040D4B00F0EF45017F +:040D4C00B74DD9BF07 +:040D4D0065DD7139B6 +:040D4E004629C42A44 +:040D4F0083458593C0 +:040D5000DE0608486B +:040D5100DA26DC22A0 +:040D520087EFD0EF68 +:040D5300C03E478DCA +:040D5400000627B7B7 +:040D5500000214374D +:040D5600019C04B741 +:040D5700A807861350 +:040D5800CC048593AF +:040D59000204051378 +:040D5A00F33FC0EFB4 +:040D5B00E06FD0EF86 +:040D5C00000627B7AF +:040D5D00A80786134A +:040D5E00CC048593A9 +:040D5F000204051372 +:040D6000F1BFC0EF30 +:040D61000204051370 +:040D6200084C4629CA +:040D6300FBDFC0EF03 +:040D6400458164E57C +:040D6500040005136E +:040D6600B004AA2308 +:040D6700D3DFF0EFF7 +:040D6800842A47850D +:040D6900B14484937A +:040D6A001CF51763FA +:040D6B001AA0059332 +:040D6C0004800513E7 +:040D6D00D25FF0EF72 +:040D6E001D63C22A15 +:040D6F004591148511 +:040D7000F0EF080890 +:040D71004703D07FE5 +:040D72004792012182 +:040D73001AF71563F3 +:040D740001314703FF +:040D75000AA0079336 +:040D760018F71F63E8 +:040D77003E800513A2 +:040D7800F60FE0EFA3 +:040D7900F86FE0EF40 +:040D7A00196347852D +:040D7B0005B700F5C3 +:040D7C00051340001B +:040D7D00F0EF0E90F5 +:040D7E00F56DCE3F02 +:040D7F00F6EFE0EFBC +:040D8000056347853B +:040D810044010EF526 +:040D8200A82367E556 +:040D8300478202079A +:040D8400F79317FDCD +:040D8500C03E0FF766 +:040D86001607816368 +:040D87004785D815AF +:040D88000513458189 +:040D8900C09C049076 +:040D8A00008482233C +:040D8B00CADFF0EFDC +:040D8C00E551478165 +:040D8D00E0EF451539 +:040D8E00842AF0AF14 +:040D8F00051345857E +:040D9000F0EF00F18F +:040D91004703C87FCD +:040D9200079300F1D2 +:040D930017630FF0E3 +:040D9400E0EF00F795 +:040D95004785F18F0E +:040D9600FEF502E381 +:040D970067E5E40127 +:040D98000207A82383 +:040D990000F146839C +:040D9A000FE007134C +:040D9B009863478191 +:040D9C0045C904E65B +:040D9D00F0EF10085B +:040D9E00C703C53F83 +:040D9F007693004403 +:040DA000866300273F +:040DA10047031006EE +:040DA2004783026120 +:040DA3004603027190 +:040DA4008B0D02A110 +:040DA5008F5D072235 +:040DA60002814783FC +:040DA7004683070A6E +:040DA80083990251D8 +:040DA90047038FD994 +:040DAA00821D029113 +:040DAB0007068ABDF0 +:040DAC008F518B19BF +:040DAD00078596BA66 +:040DAE0097B316E5FC +:040DAF00363700D7FC +:040DB00005B700295A +:040DB1001537019C55 +:040DB20017FD000227 +:040DB3002E060613EF +:040DB400CC05859352 +:040DB500020505131B +:040DB600C0EFC49C2A +:040DB7004522DC1FD6 +:040DB80020000613FE +:040DB900F0EF458191 +:040DBA00A859DBDF7A +:040DBB000513458156 +:040DBC00F0EF07A0AD +:040DBD0018E3BE7FFA +:040DBE004591F00566 +:040DBF00F0EF080841 +:040DC0004783BCBFEA +:040DC10044310101B7 +:040DC2000407F79398 +:040DC300EE079EE3B6 +:040DC400BDDD44113C +:040DC500051345814C +:040DC600F0EF0E90AC +:040DC7000793BBFFD4 +:040DC800656304104B +:040DC900440900A435 +:040DCA000E900793ED +:040DCB000FA005135D +:040DCC00E0EFC23E54 +:040DCD00E0EFE0EF84 +:040DCE004785E34F23 +:040DCF0000F51763B1 +:040DD0004581451202 +:040DD100B95FF0EF27 +:040DD200E0EFF57DDC +:040DD300E111E20F39 +:040DD40067E544018A +:040DD500051345813C +:040DD600A82307B097 +:040DD700F0EF020730 +:040DD800C111B7BFCF +:040DD9000593440139 +:040DDA0005132000DD +:040DDB00F0EF050030 +:040DDC000EE3B6BFAD +:040DDD004401E805E0 +:040DDE004505BD59B1 +:040DDF00EA0411E32E +:040DE000546250F217 +:040DE100053354D2B0 +:040DE200612140A0AB +:040DE3008B1180826E +:040DE40001E347815F +:040DE5004783F20747 +:040DE600470302813C +:040DE70007A20291CC +:040DE80047458FD913 +:040DE9000113BF0132 +:040DEA000793DD414D +:040DEB00CE3E2010C8 +:040DEC0017B710081D +:040DED002023301F70 +:040DEE002423229107 +:040DEF002223221188 +:040DF000CC3E228152 +:040DF100D71FF0EF29 +:040DF200D0EF84AA10 +:040DF300C4B1BA8F3E +:040DF400409004B374 +:040DF500B9EFD0EF93 +:040DF6008C634705BE +:040DF700456336E436 +:040DF80057293697AA +:040DF90036E48B63EE +:040DFA0036048D63CB +:040DFB00859365DD9A +:040DFC0067E584C55E +:040DFD0085134645CF +:040DFE0020EFAA0731 +:040DFF0045055990BD +:040E00006539C4810B +:040E0100EAD5051316 +:040E020022812083A6 +:040E03002241240361 +:040E04002201248320 +:040E050022C10113F2 +:040E06006561808220 +:040E07006A05051360 +:040E08008DFFC0EFAB +:040E090067D94481E0 +:040E0A0006B31418FF +:040E0B00C583009704 +:040E0C008613DF86E4 +:040E0D0095B265072E +:040E0E0080634609AE +:040E0F00460D06C4C2 +:040E100006C482632F +:040E1100000F443753 +:040E1200240404139D +:040E1300851367E5F7 +:040E14004645AA079E +:040E1500DFC6C483ED +:040E160053B020EFC6 +:040E1700E0EF4509BA +:040E1800084CBD5F66 +:040E1900D0EF85226F +:040E1A0067D9EABFEB +:040E1B006465DD55D8 +:040E1C00AB4406934A +:040E1D00D60347525F +:040E1E0004130006B3 +:040E1F000E63AB446F +:040E2000D60302E60D +:040E21001463002630 +:040E2200D0EF02C744 +:040E23000493AE8FF7 +:040E240065DD0690F2 +:040E25008405859328 +:040E26008437BFA9A5 +:040E27000413001E92 +:040E2800B76D480456 +:040E290000049437F6 +:040E2A003E0404136B +:040E2B00D683B7456E +:040E2C000CE301864C +:040E2D00448DFCD71D +:040E2E006561BF85B6 +:040E2F006A05051338 +:040E300083FFC0EF8D +:040E3100656565DDB1 +:040E32008593464519 +:040E330005138845D6 +:040E340020EFA8C53E +:040E350067E54C1011 +:040E3600859365DD5E +:040E3700464589059E +:040E3800AA0785136D +:040E39004AF020EF6C +:040E3A00E0EF450997 +:040E3B005537B49FD4 +:040E3C00084C004C12 +:040E3D00B4050513E0 +:040E3E00E19FD0EF71 +:040E3F0047D2D55968 +:040E40000004570350 +:040E41000CF7006347 +:040E4200002457032E +:040E4300F6E79FE34C +:040E440067E5C0029C +:040E4500851365DDCF +:040E46004645AA076C +:040E47008A05859300 +:040E4800473020EF20 +:040E4900E0EF450988 +:040E4A005703B0DFBB +:040E4B0047D2002466 +:040E4C0008F71E6322 +:040E4D002000061368 +:040E4E0010084581C2 +:040E4F00CC7FC0EFA5 +:040E500010084582BF +:040E5100A67FF0EF99 +:040E520015E384AA76 +:040E53004782E805E5 +:040E5400001004374F +:040E5500C03E07850F +:040E5600C23E6785AC +:040E570020000793DD +:040E5800F46386A217 +:040E59000693008775 +:040E5A00479220009B +:040E5B00C436101079 +:040E5C0000879593E3 +:040E5D00A50367E59D +:040E5E00C0EF018759 +:040E5F0046A2A29F66 +:040E600084AA101838 +:040E610000D706337D +:040E620018E6166315 +:040E6300E40494E32C +:040E64001FF0071361 +:040E650000876D6332 +:040E66000613101C43 +:040E67008E152000C4 +:040E680000D78533F7 +:040E6900C4364581C5 +:040E6A00C5BFC0EF51 +:040E6B00458246A2D4 +:040E6C00C436100870 +:040E6D009F7FF0EF84 +:040E6E000B6346A22A +:040E6F0004B31605AD +:040E7000BD0940A0D8 +:040E710014000793CF +:040E7200B7A1C03E26 +:040E73002000061342 +:040E7400100845819C +:040E7500C2FFC0EF09 +:040E760085A244010C +:040E7700F0EF100880 +:040E780084AA9CDFCD +:040E7900DE0518E397 +:040E7A0007930405D1 +:040E7B0016E3140066 +:040E7C006459FEF4C3 +:040E7D00650407936E +:040E7E00040785934D +:040E7F001008466DA4 +:040E8000BC7FC0EF84 +:040E8100650407936A +:040E820005C7859388 +:040E830000C84669F4 +:040E8400BB7FC0EF81 +:040E8500879377EDEB +:040E86004581A557A6 +:040E87001F2310080D +:040E8800F0EF20F176 +:040E890084AA989F00 +:040E8A00DA0516E38C +:040E8B004681440157 +:040E8C002000061329 +:040E8D001008458183 +:040E8E00C0EFC236B9 +:040E8F004692BC9F2C +:040E90002010061315 +:040E910010000593B5 +:040E920087938E159F +:040E930006420036DD +:040E9400824107C2CE +:040E95000016B5137B +:040E9600050683C109 +:040E9700F463873247 +:040E9800071300C577 +:040E9900973E100070 +:040E9A0015938F0914 +:040E9B0081C1010709 +:040E9C0057E1E681B3 +:040E9D00478DD03E6F +:040E9E008D1D66C17F +:040E9F0020300313E9 +:040EA000873316FD81 +:040EA100074200A75D +:040EA200E86383413D +:040EA300869306B775 +:040EA40006C2FFD5AE +:040EA500059382C16E +:040EA6001008080424 +:040EA700F0EFC23670 +:040EA80084AA90DFA9 +:040EA900D20518E373 +:040EAA000A0405939E +:040EAB00F0EF10084C +:040EAC0084AA8FDFA6 +:040EAD00D20510E377 +:040EAE00040546925F +:040EAF00079304425F +:040EB00080411FF06E +:040EB100F6D7F6E397 +:040EB2002000061303 +:040EB300100845815D +:040EB400B33FC0EF99 +:040EB500879367D9DF +:040EB60085936507B4 +:040EB7000613078790 +:040EB800100802001C +:040EB900AE3FC0EF99 +:040EBA000C00059390 +:040EBB00F0EF10083C +:040EBC0084AA8BDF9A +:040EBD00E40500E365 +:040EBE001010B9F166 +:040EBF009732070659 +:040EC0009363863E74 +:040EC100863600670A +:040EC20007C20785D7 +:040EC30000C7102331 +:040EC400BF8583C1A2 +:040EC50000074503DA +:040EC600C636C83232 +:040EC700C0EFC43A7A +:040EC8004722F85F66 +:040EC90046B24642A5 +:040ECA0000A700235A +:040ECB00BDA90705B1 +:040ECC00D71347826F +:040ECD008C150086FA +:040ECE00C03E078596 +:040ECF0097BA4792F5 +:040ED0001DE3C23E1E +:040ED100B179E0040F +:040ED200069007136C +:040ED300D4E483E3FD +:040ED40065DDB971AE +:040ED50086C58593B6 +:040ED60065DDB969B4 +:040ED70085C58593B5 +:040ED80065DDB949D2 +:040ED90087C58593B1 +:040EDA000113B169E6 +:040EDB00CC26FDC163 +:040EDC00CE22D0064C +:040EDD0084AEC42AF1 +:040EDE00C236C03226 +:040EDF00E3994782CA +:040EE000A015450113 +:040EE100079344022D +:040EE200F463200095 +:040EE300041300876D +:040EE40045A2200003 +:040EE5001613451289 +:040EE6008241010440 +:040EE700907FF0EF19 +:040EE8000533C909FC +:040EE900508240A053 +:040EEA0044E2447228 +:040EEB0002410113AC +:040EEC00F793808276 +:040EED0096130FF455 +:040EEE00CB9D008414 +:040EEF0086B34792ED +:040EF0009163008783 +:040EF10067E504D7D6 +:040EF200A50346927C +:040EF300D59301870B +:040EF40087220084CD +:040EF500C0EF05C283 +:040EF600F571E4AFFF +:040EF700078547A282 +:040EF8005793C43E0A +:040EF90094BE00841F +:040EFA008F8147821B +:040EFB00B779C03EC5 +:040EFC00A50367E5FE +:040EFD0085B2018732 +:040EFE00C0EFC63249 +:040EFF004632DCEFAC +:040F0000B755DD55AF +:040F01000007C5031D +:040F0200C832CA36F1 +:040F0300C0EFC63E37 +:040F040047B2E95FA8 +:040F0500464246D248 +:040F060000A780239D +:040F0700B75507854E +:040F0800DB010113F5 +:040F0900262300C8D3 +:040F0A002423241167 +:040F0B0022232481F8 +:040F0C00F0EF24914D +:040F0D00842A903F63 +:040F0E00F3BFC0EF7E +:040F0F00408007B364 +:040F10001C041A6340 +:040F110000CC4611B9 +:040F120020EF104874 +:040F130065DD1490F4 +:040F1400859346116A +:040F1500104891C52A +:040F160010D020EFE8 +:040F1700440DC91D9F +:040F180006400793F5 +:040F1900C0EFC23E25 +:040F1A004792F0DF2B +:040F1B000680071332 +:040F1C0000F74D632A +:040F1D000630071380 +:040F1E0030F7426303 +:040F1F00F340071381 +:040F200036E78963C4 +:040F21008A63470593 +:040F220065DD36E76C +:040F230084C5859369 +:040F24005783A62920 +:040F250005930481AB +:040F2600461D04A1BF +:040F270002A105130B +:040F280002F114239B +:040F29000EF020EFB7 +:040F2A0005215783C3 +:040F2B00020108A314 +:040F2C0002F110239B +:040F2D0005415783A0 +:040F2E0002F1112398 +:040F2F00C0EF5502B8 +:040F30005783E21FE2 +:040F3100DA2A056152 +:040F32001023C22A9C +:040F3300578302F1ED +:040F340011230581FF +:040F3500550202F16E +:040F3600E07FC0EFA9 +:040F370005A1578336 +:040F38001023DC2A7C +:040F3900578302F1E7 +:040F3A00112305C1B9 +:040F3B00550202F168 +:040F3C00DEFFC0EF25 +:040F3D002503DE2A80 +:040F3E00C0EF2401DB +:040F3F004592DE5F9A +:040F40000793C0AAA9 +:040F410087131E20D4 +:040F4200E763FE65FE +:040F430046050CE76C +:040F4400C0EF00C832 +:040F45004786DF1FDD +:040F460000F5066349 +:040F47000793440DBB +:040F4800B7890660FF +:040F4900665D646518 +:040F4A0092460613B2 +:040F4B00051345C580 +:040F4C0010EFA8C436 +:040F4D0056E265D033 +:040F4E00665D67E590 +:040F4F00AA07851355 +:040F500093460613AB +:040F510010EF45C593 +:040F5200450564905D +:040F5300EE6FE0EF6E +:040F5400470157E218 +:040F5500C23E450152 +:040F5600C43E57F24C +:040F570061634792F9 +:040F580047A208F7AD +:040F590020A79463D6 +:040F5A0002A1478326 +:040F5B000281468346 +:040F5C0002914703B4 +:040F5D0067DDCBD5AC +:040F5E00708787937E +:040F5F0002A10613D2 +:040F6000665DC032D8 +:040F610094060613D9 +:040F6200051345C569 +:040F630010EFA8C41F +:040F640067E56010CD +:040F6500464565DDBB +:040F66009985859351 +:040F6700AA0785133D +:040F6800827FC0EFD5 +:040F690064E54505F1 +:040F6A00E8AFE0EF1D +:040F6B00AB4484937C +:040F6C00000216B7B2 +:040F6D000D06A78343 +:040F6E000004D703A1 +:040F6F0083C107C271 +:040F700006F7086315 +:040F71000024D7037E +:040F72001AF7066301 +:040F730005136509F4 +:040F7400C0EF710554 +:040F7500BFE9B2CF4F +:040F76000793440D8C +:040F7700B559065012 +:040F780086B3479263 +:040F7900079340E7B3 +:040F7A00F4632000FC +:040F7B00069300D702 +:040F7C0004932000BA +:040F7D0096132007A0 +:040F7E0082410106A5 +:040F7F000094D59372 +:040F8000C83A00C8A3 +:040F8100F0EFC63691 +:040F820046B2E9CFBB +:040F8300C509474213 +:040F840040A007B3CF +:040F8500B5B9440DA9 +:040F86000017361307 +:040F870000C885B663 +:040F8800CE3FC0EFA9 +:040F8900BF1D8726DB +:040F8A00879367D909 +:040F8B00B7B973C7B8 +:040F8C00973FD0EFCC +:040F8D00071367657A +:040F8E00431C038776 +:040F8F00000216B78F +:040F9000E793650975 +:040F9100C31C002756 +:040F92000EF6A02394 +:040F930071050513CC +:040F9400AAEFC0EF11 +:040F9500051365DDFE +:040F96004645A8C460 +:040F970094C58593E5 +:040F9800732020EFB3 +:040F990067E5440DB7 +:040F9A00851364DD7A +:040F9B004645AA0716 +:040F9C009584859320 +:040F9D0071E020EFF0 +:040F9E00E0EF450536 +:040F9F005662DB8F2C +:040FA000458100D4B3 +:040FA100F0EF450523 +:040FA20087AACE5FED +:040FA300DC051CE36A +:040FA40065DD67E5BB +:040FA50085934645A5 +:040FA6008513968594 +:040FA70020EFA8C7C8 +:040FA80067E56F404A +:040FA9009584859313 +:040FAA00AA078513FA +:040FAB0020EF4645A8 +:040FAC0045056E4049 +:040FAD00D7EFE0EFAB +:040FAE00448157E241 +:040FAF00C23E4501F8 +:040FB000C43E57F2F2 +:040FB100C63E67E5EC +:040FB200EF63479210 +:040FB300472202F4DB +:040FB400F34007936C +:040FB500D8A718E3BE +:040FB600C9BFC0EF00 +:040FB70065DD47B2FB +:040FB8008593464592 +:040FB90085139AC53D +:040FBA00C0EFA8C715 +:040FBB0067E5EDCF2A +:040FBC00464565DD64 +:040FBD0097858593FC +:040FBE00AA078513E6 +:040FBF00696020EF56 +:040FC000E0EF450514 +:040FC100A001D30FA9 +:040FC20086B3479219 +:040FC30007934097B9 +:040FC400F4631000C2 +:040FC500069300D7B8 +:040FC60067E51000CB +:040FC7000187A503F6 +:040FC80085A600D02A +:040FC900C0EFC83677 +:040FCA0046C2C7CF85 +:040FCB0087AA00D021 +:040FCC0000D605B393 +:040FCD0000064503D2 +:040FCE00CC2ECE3621 +:040FCF00C832CA3E1C +:040FD000B63FC0EF79 +:040FD10045E246426D +:040FD200002347D2DF +:040FD300060500A669 +:040FD40091E346F26D +:040FD50097E3FEC5DB +:040FD600B613D00777 +:040FD70085B60014C7 +:040FD800C0EF00C89E +:040FD9008493BA1F24 +:040FDA00BFB9100487 +:040FDB000793440D27 +:040FDC00B9CD067015 +:040FDD000793440D25 +:040FDE00B1ED0680EB +:040FDF00F9B78713C4 +:040FE000E063468DF7 +:040FE10066DD08E6DB +:040FE2008693070AE1 +:040FE30097369C069B +:040FE4008702431825 +:040FE500859365DDAE +:040FE600C23E90C5B2 +:040FE700851367E522 +:040FE8004645AA07C9 +:040FE9005EE020EFB7 +:040FEA00E0EF4505EA +:040FEB004537C88F2F +:040FEC000513000FDA +:040FED00C0EF240528 +:040FEE004792948F03 +:040FEF000407D763B9 +:040FF00004805563C1 +:040FF1006565665D6F +:040FF20098860613C4 +:040FF300051345C5D8 +:040FF40010EFA8C58D +:040FF500147D3BD05C +:040FF60065DDB57987 +:040FF7008C4585930D +:040FF80065DDBF6D87 +:040FF9008D4585930A +:040FFA0065DDBF4DA5 +:040FFB008E858593C7 +:040FFC0065DDB76D8B +:040FFD008B05859348 +:040FFE0065DDB74DA9 +:040FFF0086C585938B +:0410000065DDBF6982 +:041001008FC585937F +:04100200D0EFBF4923 +:041003002083AD3F5A +:04100400240324C1DC +:04100500248324819B +:04100600557D2441AF +:0410070025010113AB +:0410080001138082CE +:041009000828DDC115 +:04100A00221120236C +:04100B0020812E23EF +:04100C0020912C23E0 +:04100D00D00FF0EF21 +:04100E00C0EFC02A45 +:04100F004502B39F44 +:041010006465E931F9 +:04101100464565DD0E +:041012009EC585935F +:04101300AA04051313 +:04101400D76FC0EFE3 +:04101500E0EF4509BA +:04101600C222BDCF66 +:0410170004136465F5 +:0410180017B7AB4417 +:04101900A7830002A7 +:04101A0057030D0764 +:04101B0007C2000404 +:04101C00046383C125 +:04101D00570304F77A +:04101E001A6300242D +:04101F00479202F7FB +:04102000464565DDFF +:04102100840585932A +:04102200AA07851381 +:04102300506020EF0A +:04102400068005132A +:04102500C0EFC02A2E +:041026002083ADDF97 +:04102700240322017B +:04102800450221C19B +:04102900218124837A +:04102A00224101134B +:04102B006509808251 +:04102C007105051332 +:04102D0084AFC0EFDD +:04102E004792B76DC1 +:04102F00464565DDF0 +:04103000AA07851373 +:041031009D05859301 +:041032004CA020EFBF +:04103300E0EF45099C +:041034000737B64F75 +:041035000793FFF02E +:04103600C0022007CD +:04103700C43E6485CA +:04103800941347A224 +:04103900462D0084BC +:04103A008425943E37 +:04103B00006885A222 +:04103C00BB2FF0EFE7 +:04103D000533C501B1 +:04103E00BF6940A0A6 +:04103F00859365D957 +:0410400000687A4585 +:04104100D0EFC0EF3D +:041042004703E10D72 +:04104300468501617C +:0410440006D7156353 +:04104500014147031B +:041046004683EB09E9 +:041047000713015139 +:041048000613058006 +:0410490086634DE08D +:04104A00849306E69F +:04104B00670910041D +:04104C00FAE498E347 +:04104D003E800513C9 +:04104E00FC7FB0EF84 +:04104F00453D458155 +:04105000EDDFD0EF11 +:04105100C70367E585 +:0410520067E503C784 +:04105300A8078793D0 +:04105400C50397BA7F +:0410550045810007CA +:04105600832367E5A4 +:04105700D0EF02A72D +:041058004792EBFFD1 +:04105900665D468208 +:04105A009DC6061316 +:04105B00851345C5EF +:04105C0010EFAA07E0 +:04105D00450521D054 +:04105E00FB45BF315E +:04105F000141470301 +:041060004683F74D7F +:04106100071301511F +:0410620090E30550C2 +:041063000613FAE690 +:0410640008340570D7 +:04106500852285A6B5 +:041066009D3FF0EFCB +:04106700EE051CE393 +:04106800078547822F +:04106900B751C03E7D +:04106A00F6810113F7 +:04106B00C922CB06C5 +:04106C001437C72648 +:04106D00B0EF0002DE +:04106E00C62AFB7F14 +:04106F0008040493DA +:04107000D0BC47C5E4 +:041071000E04202326 +:04107200000227B79A +:041073000007A623A9 +:041074000007A823A6 +:041075000007AA23A3 +:0410760085136789EE +:04107700B0EF71075E +:0410780066E5F21F18 +:0410790003868793D0 +:04107A001D1007132B +:04107B00D0B8C3988E +:04107C00D0EF45016B +:04107D001537E0EF54 +:04107E000513000353 +:04107F00B0EFD405F5 +:041080002637F01F00 +:0410810005B70006A9 +:041082000613019CB4 +:041083008593A806A3 +:041084000513CC057F +:04108500C0EF0404B0 +:0410860067E5A84F23 +:041087000387871341 +:04108800431887BAC8 +:041089007713455143 +:04108A00C398F9F717 +:04108B00B0EFD0B83A +:04108C0045D1ED1F3E +:04108D0003800513C4 +:04108E00D3EFD0EFDD +:04108F00051345D12F +:04109000D0EF03900A +:0410910045D1D34F23 +:04109200D0EF455105 +:0410930045D1D2CFA2 +:041094000710051329 +:04109500D22FD0EF97 +:04109600051345D128 +:04109700D0EF05E0B1 +:0410980045D1D18FDE +:0410990006D0051365 +:04109A00D0EFD0EFD4 +:04109B00453145D1C5 +:04109C00D06FD0EF52 +:04109D003200059385 +:04109E00D0EF450545 +:04109F0045D1CFCF99 +:0410A000D0EF45192F +:0410A1000593CF4F95 +:0410A20045093200CA +:0410A300CEAFD0EF0D +:0410A400879367E5E2 +:0410A50043980387E2 +:0410A6004581460139 +:0410A70004076713C0 +:0410A800D0B8C39861 +:0410A9000404051323 +:0410AA00A56FC0EF7F +:0410AB00B0EF452934 +:0410AC0045E1E51F16 +:0410AD00C0EF450546 +:0410AE0045E19D9FDC +:0410AF00C0EF450940 +:0410B00045E19D1F5A +:0410B100C0EF450D3A +:0410B200C0EF9C9F50 +:0410B30066E5CFFF20 +:0410B4000386871315 +:0410B500C83A47E10D +:0410B60058F51B636B +:0410B700C0EF450140 +:0410B80007939F1FDC +:0410B9000B630FF0C6 +:0410BA0087B75AF5A5 +:0410BB0087931A807D +:0410BC00D8BE08078B +:0410BD008793678925 +:0410BE001A23A1A7A9 +:0410BF0047A106F14E +:0410C00006F10B2307 +:0410C100C9EFD0EFB4 +:0410C200C0EF4569CD +:0410C30075939C5F26 +:0410C400E5930F554C +:0410C500456900A5D4 +:0410C600A01FC0EFB8 +:0410C7000513458543 +:0410C800C0EF035022 +:0410C900655D9F7F43 +:0410CA00774505134E +:0410CB00B53FC0EF7E +:0410CC00C0EF45012B +:0410CD004599C41F5E +:0410CE0003D0051333 +:0410CF009DDFC0EFF2 +:0410D0000440059340 +:0410D100C0EF4545E2 +:0410D20045859D3F74 +:0410D300C0EF4549DC +:0410D40045819CBFF7 +:0410D500C0EF454DD6 +:0410D60018889C3F9B +:0410D7009FFFC0EFC8 +:0410D800C0EF450917 +:0410D9007513829F6A +:0410DA0047CD0FF5FA +:0410DB0052F51A634D +:0410DC00849364E5B0 +:0410DD00C583A084A3 +:0410DE004515002490 +:0410DF00851FC0EFBA +:0410E0000024C7839E +:0410E10003D00593A0 +:0410E200839D451194 +:0410E3000027971338 +:0410E40000C4C783FA +:0410E5008FD99BED17 +:0410E60000F4862369 +:0410E700831FC0EFB4 +:0410E8003E8005132E +:0410E900D5BFB0EFD0 +:0410EA00451145F572 +:0410EB00821FC0EFB1 +:0410EC000300059365 +:0410ED0006100513D1 +:0410EE00815FC0EF6F +:0410EF000B2005933A +:0410F000C0EF4525E3 +:0410F100059380BF24 +:0410F20045290F80FD +:0410F300801FC0EFAB +:0410F40003700593ED +:0410F500C0EF452DD6 +:0410F6004581FF6FC2 +:0410F700C0EF453DC4 +:0410F8004581FEEF41 +:0410F9000C9005133F +:0410FA00FE4FC0EFF6 +:0410FB000513458113 +:0410FC00C0EF0CA095 +:0410FD004581FDAF7D +:0410FE000CB005131A +:0410FF00FD0FC0EF32 +:04110000051345810D +:04110100C0EF0CC06F +:041102004581FC6FB8 +:041103000CD00513F4 +:04110400FBCFC0EF6E +:041105000513458108 +:04110600C0EF0CE04A +:041107004581FB2FF4 +:041108000CF00513CF +:04110900FA8FC0EFAA +:04110A000513458103 +:04110B00C0EF0D0024 +:04110C00C583F9EFAF +:04110D000513004482 +:04110E00C0EF0E1010 +:04110F004601F92F6D +:0411100004A005939F +:0411110004040513BA +:0411120087CFC0EFD4 +:0411130045954601B7 +:0411140004040513B7 +:041115008AAFC0EFEE +:0411160005934605F2 +:04111700051304A018 +:04111800C0EF04041C +:041119004585862F53 +:04111A0004040513B1 +:04111B00878FC0EF0B +:04111C0008600793CD +:04111D0006F512635E +:04111E0007500593DE +:04111F0007000513AD +:04112000FCEFC0EF31 +:041121000900059329 +:0411220002000513AF +:04112300FC2FC0EFEE +:0411240005134581E9 +:04112500C0EF021005 +:041126004581FB8F75 +:04112700022005138A +:04112800FAEFC0EF2B +:041129000513458DD8 +:04112A00C0EF0230E0 +:04112B004581FA4FB1 +:04112C000280051325 +:04112D00F9AFC0EF67 +:04112E000B0005931A +:04112F00071005138D +:04113000F8EFC0EF25 +:04113100070005931B +:04113200070005139A +:04113300F82FC0EFE2 +:04113400470567E51F +:0411350002E7822328 +:04113600A78367E53F +:0411370067659A4707 +:0411380000F72C236D +:041139003C0780638C +:04113A00BEEFD0EF45 +:04113B00079363654E +:04113C0065E1A18345 +:04113D0002278513ED +:04113E000460061330 +:04113F008A85859385 +:041140008C6FC0EF01 +:04114100676166E597 +:041142008707059383 +:04114300AB4685131F +:04114400036006132B +:041145008B2FC0EF3D +:04114600453D45815D +:04114700B01FD0EF16 +:04114800C50364E592 +:041149004581026476 +:04114A00D0EF646519 +:04114B0045B7AF3FB6 +:04114C008593000285 +:04114D0041B0380570 +:04114E000513757997 +:04114F008E697FF531 +:0411500041B0C1B039 +:04115100157D75692A +:04115200C1B08E6931 +:0411530067E541B05B +:04115400A187879355 +:04115500F1F6761326 +:041156000606661310 +:0411570041B0C1B032 +:041158008FF6761385 +:0411590030066613E3 +:04115A006665C1B055 +:04115B009D064503A5 +:04115C0000A032B30A +:04115D00997941A893 +:04115E0000556533A0 +:04115F004503C1A8DB +:04116000890D9D1444 +:0411610000351293B0 +:04116200991D41A8EA +:04116300005565339B +:0411640041A8C1A835 +:0411650012FD72A164 +:041166000055753388 +:04116700653362A1E9 +:04116800C1A80055C5 +:04116900450145896E +:04116A0000B78AA39D +:04116B00ED1FC0EFC5 +:04116C0017B76365E9 +:04116D000713000262 +:04116E00A583A18331 +:04116F00C43A0D076A +:04117000CE3A6761AB +:041171008713666515 +:04117200D23A026407 +:041173009D060713BB +:041174000713C4BADF +:04117500C6BA9D1445 +:04117600071367658F +:04117700CA3A0287E7 +:04117800071367658D +:04117900D43A00C79D +:04117A0007B76765E7 +:04117B0066E5000223 +:04117C00010707134D +:04117D00DE368FEDDE +:04117E009263D63A68 +:04117F0066E114070A +:04118000804687130B +:04118100CC3A44819F +:0411820065DD64655E +:0411830085934645C5 +:041184000513A0852A +:04118500D03EA8C4EC +:0411860077B010EF3F +:04118700969347E212 +:041188006565002475 +:04118900428C96BE40 +:04118A0005134645BE +:04118B0010EFAA05B2 +:04118C00463776501C +:04118D0026830002B3 +:04118E0045053C06D1 +:04118F000046E6939D +:041190003CD6202306 +:04119100DEFFD0EFBE +:0411920046815782B9 +:041193000002163709 +:041194000D0625031C +:04119500177D67411A +:0411960000E575B348 +:041197000337475281 +:04119800C30C000381 +:041199000D06260316 +:04119A00461357227F +:04119B007633FFF6B2 +:04119C00C310006616 +:04119D008763C98516 +:04119E0067E502F50A +:04119F00AB47879340 +:0411A000001496138E +:0411A100EAAD97B26A +:0411A200902365DD54 +:0411A300464500A716 +:0411A400A105859389 +:0411A500A8C40513C2 +:0411A6006FB010EF27 +:0411A700D0EF45053B +:0411A8004685D95F40 +:0411A900A30357A2A3 +:0411AA0057B2000731 +:0411AB00E39D439CE1 +:0411AC00116367C1A3 +:0411AD00E0BD02F3AC +:0411AE00061347F2EB +:0411AF00D01A0360EF +:0411B0008707859395 +:0411B10044ED57F2C0 +:0411B200AB478513AF +:0411B300EFBFB0EFEB +:0411B4004689530213 +:0411B50047D2573294 +:0411B60020234609A3 +:0411B700D8360067BF +:0411B8008063439C71 +:0411B900650904C6FA +:0411BA0071050513A3 +:0411BB00B0EFD03E83 +:0411BC005782A11F96 +:0411BD00BF9956C2BE +:0411BE000007D783CC +:0411BF0083E34689F7 +:0411C00065DDFAF5FA +:0411C1008593464587 +:0411C2000513A185EB +:0411C30010EFA8C4BD +:0411C4004505685025 +:0411C500D1FFD0EF97 +:0411C600B76946813E +:0411C700468914F948 +:0411C8000485BF5586 +:0411C900D1E346E93F +:0411CA00453DEE961B +:0411CB00E56FD0EF0D +:0411CC00000247379F +:0411CD003C07278331 +:0411CE0020239BED52 +:0411CF0047B23CF7F0 +:0411D000665D64E50F +:0411D10000A7D5138B +:0411D20012C00793AD +:0411D30002F507B367 +:0411D40005A0071358 +:0411D5000613468136 +:0411D60045C5A286E3 +:0411D700AEC485130A +:0411D800C2BE6465CA +:0411D90042A010EF31 +:0411DA00464565DD44 +:0411DB00B105859342 +:0411DC00B004051343 +:0411DD00E53FB0EF4B +:0411DE00D0EF450504 +:0411DF00A537F01F21 +:0411E00005130007EC +:0411E100B0EF120554 +:0411E200B0EF979F34 +:0411E3004799999FF0 +:0411E400CE02D03E29 +:0411E500D802CC025E +:0411E600CAA2C8A62B +:0411E700000217B734 +:0411E8000D07A783C5 +:0411E900674146D242 +:0411EA008F7D177D61 +:0411EB00C693C2984D +:0411EC000737FFF7CB +:0411ED008F750003F7 +:0411EE00D61356A21C +:0411EF0087B201873B +:0411F00066E5C29856 +:0411F10002C6869319 +:0411F2001679CA1987 +:0411F3000FF676136A +:0411F400F663458DCC +:0411F500C60300C568 +:0411F6001563000677 +:0411F700465200F666 +:0411F80000062023AA +:0411F90000F6802359 +:0411FA00439C57B209 +:0411FB0057B2EFD523 +:0411FC006465C398CB +:0411FD0000B4041323 +:0411FE00000447831F +:0411FF000E078663EE +:04120000C78347A2B7 +:0412010081630207FC +:0412020047A20E07EA +:0412030047036765D1 +:04120400C78301E7B4 +:041205008FD900F786 +:041206000FF7F79354 +:04120700B0EFE7F16C +:041208004796929FD4 +:041209000CF562631B +:04120A00079347728D +:04120B00ED63063059 +:04120C0046830AE724 +:04120D00478500040D +:04120E0087634705A6 +:04120F00578200F60C +:04121000FFA787139A +:0412110000E037338F +:0412120046A147A208 +:041213000207C78384 +:04121400F79317FD38 +:04121500E7630FF785 +:0412160066DD06F695 +:04121700B2C6869342 +:0412180097B6078AF4 +:0412190067E54394AE +:04121A0003C78793EC +:04121B0056F9868278 +:04121C006565665D41 +:04121D00A3C606134B +:04121E00051345C5AA +:04121F0010EFAEC559 +:0412200065D931005B +:041221004645656574 +:0412220073C5859378 +:04122300B0050513FA +:04122400503010EF47 +:04122500D0EF4505BC +:04122600A001DE5FE6 +:04122700BFC956F5F0 +:04122800B7F956F1CB +:04122900B7E956FDCE +:04122A00C39857B25C +:04122B00A02357A203 +:04122C00B78100077F +:04122D0047036765A7 +:04122E0037330097BB +:04122F00070900E0CB +:0412300000E7802330 +:04123100570247F227 +:04123200F7930785A2 +:04123300CE3E0FF7A5 +:04123400076347996C +:04123500079300F724 +:04123600F793001713 +:04123700D03E0FF79F +:04123800843FB0EF50 +:04123900CC3E4785DB +:04123A00067107939F +:04123B00D8BE646550 +:04123C00B30407935D +:04123D00019787137B +:04123E0065DDDABAD6 +:04123F0001A7871369 +:04124000461DDCBAB1 +:0412410001B7871357 +:04124200B245859399 +:0412430010A807F1F7 +:04124400C13EDEBA0F +:0412450003A3C33EFE +:04124600B0EF0601FE +:0412470047A2CADF11 +:04124800B304069352 +:04124900C783C6365B +:04124A0046850207CC +:04124B00DA36472523 +:04124C0000E78663CE +:04124D00F793078587 +:04124E00DA3E0FF77E +:04124F00468147D2BB +:04125000439045E999 +:04125100879357F236 +:04125200D703AB47CC +:041253001A63000713 +:0412540047E904E67C +:0412550054D7EC631B +:041256009793675DA6 +:041257000713002653 +:0412580097BAB50785 +:041259008782439CA9 +:04125A00E7194685C5 +:04125B0046836765FA +:04125C00B6939C07A2 +:04125D0006910016E0 +:04125E0000D7802312 +:04125F004699B7A154 +:04126000C683DF65FD +:04126100BFCD0007F6 +:04126200BF1D471D48 +:041263004703676571 +:04126400373300A775 +:04126500072100E07D +:041266004699B725C9 +:041267004685FF7148 +:041268000789BFE152 +:0412690028B685E33B +:04126A00B7450685F9 +:04126B00474247852A +:04126C00431846C21B +:04126D00100747130C +:04126E005722C298A9 +:04126F00431866C1F9 +:04127000C2918EF9A0 +:0412710006B757D293 +:041272008F75000272 +:041273004732CF111E +:041274004681460564 +:041275000007470324 +:0412760000E66563C6 +:04127700769307055E +:0412780047320FF7F3 +:0412790000D7002377 +:04127A004729646537 +:04127B000413DA027C +:04127C00866303C4BE +:04127D00002300E763 +:04127E00478500F4AC +:04127F0047C2DA3E4A +:04128000F713439C81 +:0412810047C2FF372A +:0412820067E5C398C1 +:0412830001E7C68336 +:0412840001E7879364 +:04128500EA81DC3EE0 +:04128600C78367E5CE +:04128700078A01C70A +:0412880047428FD971 +:0412890047C2C31C79 +:04128A0017B74398B7 +:04128B00A02300029A +:04128C0057E20EE730 +:04128D000007C7830C +:04128E004501C781CE +:04128F00DD8FE0EF20 +:04129000470347A227 +:04129100C78300040B +:041292008463020768 +:0412930057D200E747 +:0412940047E2E799AD +:0412950047A2CF8518 +:0412960000F7C78313 +:0412970067E5CB85B7 +:041298000257C783AF +:04129900C39DCC3EE7 +:04129A00879367E5EA +:04129B0097BAA8074F +:04129C000007C5037F +:04129D00CC02579296 +:04129E000007C783FB +:04129F0000A78863B9 +:0412A000458157929B +:0412A10000A78023FF +:0412A200D94FD0EF61 +:0412A3004783472214 +:0412A4006465000479 +:0412A50002074703F2 +:0412A60003E4041346 +:0412A70000F71F63CA +:0412A8000004468375 +:0412A9007763470917 +:0412AA0047321ED7D2 +:0412AB00034746832C +:0412AC00470347228B +:0412AD008F630567DF +:0412AE0064E51CE6F1 +:0412AF0003D486934B +:0412B00002048EA303 +:0412B1000004002312 +:0412B200C0B6470D6E +:0412B30018F778E3CD +:0412B4004605473272 +:0412B5000347468322 +:0412B60014C69EE3D9 +:0412B700FFA78613F4 +:0412B8000FF67613A4 +:0412B900F463448D09 +:0412BA00106F00C6EB +:0412BB00861309602D +:0412BC007593FFF730 +:0412BD0046210FF6C1 +:0412BE0002B66163B0 +:0412BF000613665D4F +:0412C000962E73C62D +:0412C10000064703D9 +:0412C200D83A4619B7 +:0412C30016C788E3DF +:0412C40014F66AE3CF +:0412C5008FE3460568 +:0412C600472214C7E0 +:0412C7000023CCB67E +:0412C80007A302F77F +:0412C900C0EF00076B +:0412CA0046E6CA2FFB +:0412CB007593478947 +:0412CC0099E30D8510 +:0412CD00E59314F69B +:0412CE0045050015BD +:0412CF00C0EFCCAEF2 +:0412D00045E6950F4B +:0412D100C0EF45091C +:0412D20045E6948FCA +:0412D300C0EF450D16 +:0412D400C0EF940FC4 +:0412D500C0EFC51F82 +:0412D60067E58B2F0E +:0412D7000247C78380 +:0412D8004585C38500 +:0412D900009595B334 +:0412DA000405E5938F +:0412DB000FF5F59383 +:0412DC00CCAE451936 +:0412DD008DAFC0EF22 +:0412DE00451D45E67F +:0412DF008D2FC0EFA0 +:0412E0004483478676 +:0412E100458100043F +:0412E2000007C70337 +:0412E300E6634789EE +:0412E4009593009747 +:0412E50005E20064BA +:0412E600179385E1F4 +:0412E7001693004713 +:0412E8008FD5002777 +:0412E9008DDD8FD92F +:0412EA000FF5F59374 +:0412EB00C0EF4565A6 +:0412EC00454196AF33 +:0412ED0091AFC0EF0E +:0412EE00478D57428F +:0412EF000F8575935F +:0412F00000F714638C +:0412F1000055E5932C +:0412F200C0EF4541C3 +:0412F300478994EFA4 +:0412F40005B00593A9 +:0412F5000097F96302 +:0412F6000593479184 +:0412F700F463052077 +:0412F80005930097C3 +:0412F900453905303E +:0412FA00930FC0EF9F +:0412FB003E80051319 +:0412FC00D0EFB0EF90 +:0412FD00C0EF4551A8 +:0412FE0057428D8F37 +:0412FF0045C1478D11 +:0413000000F703638C +:04130100456145817C +:04130200910FC0EF98 +:041303000513458108 +:04130400C0EF0360D3 +:0413050047A2906FFC +:04130600FFFC0737AA +:04130700A42356C203 +:0413080047C20007D1 +:04130900439C177D6D +:04130A0047058FF90B +:04130B0006E684E38B +:04130C0046454742C9 +:04130D0047C2C31CF4 +:04130E0017B7439832 +:04130F00A023000215 +:0413100047A20EE7FB +:041311000207C78385 +:041312000027971306 +:04131300879367DD78 +:0413140097BA748789 +:0413150047C6438CF8 +:04131600AEC78513C6 +:04131700137010EF50 +:0413180065DD47D672 +:0413190085134645AD +:04131A008593B00700 +:04131B0010EFB045DA +:04131C004505125021 +:04131D00A07FD0EFEE +:04131E00CF8957D24A +:04131F00C70367E5B4 +:0413200047A9014791 +:0413210000F7156359 +:04132200D0EF453D86 +:04132300B0EF8F8F09 +:04132400CE02C94FDD +:04132500C70347B201 +:0413260047A20237A1 +:041327000457C7831D +:0413280002F707635E +:04132900468147A210 +:04132A00C5034601B0 +:04132B0045810157A0 +:04132C00EA2FC0EFF5 +:04132D00C50347B2FB +:04132E00C0EF0237D3 +:04132F0047B2FC2F96 +:04133000C783472206 +:041331002423023738 +:0413320002A300070B +:0413330047B204F7C2 +:041334000237C58334 +:041335008A634789F7 +:0413360047B202F5C3 +:041337000247C603A0 +:04133800C78347A27E +:041339008263046760 +:04133A0047A202C7FD +:04133B000157C5038E +:04133C004589C1918D +:04133D00C68347A27A +:04133E00C0EF0137C4 +:04133F0047B2E58F3D +:04134000C7834722F6 +:041341000323024739 +:0413420047B204F7B3 +:04134300C7834722F3 +:041344004703034711 +:0413450005630567D0 +:04134600472200F743 +:0413470004F70B2379 +:0413480046B747A6B7 +:04134900C7030002D4 +:04134A0047B600079B +:04134B0000E0363355 +:04134C003C06A703B1 +:04134D000007C7834B +:04134E0018638B0590 +:04134F00A68300E68B +:04135000828D3C0648 +:041351008C638A8D92 +:0413520046B702F6A2 +:04135300869300027B +:0413540042B838065D +:04135500078E8B8DE7 +:041356008F519B799F +:0413570042B8C2B81E +:041358008FD99B1D71 +:0413590057E2C2BCD9 +:04135A000007C7833E +:04135B0047D2CB8921 +:04135C000007A023C3 +:04135D00D69FC0EF68 +:04135E00E0EF450572 +:04135F0047A2A9AF49 +:041360000207C78336 +:0413610058078EE3B8 +:04136200000444033C +:04136300879367E124 +:0413640027376A07B6 +:04136500431400022B +:041366000006C46356 +:04136700FFE517FD8A +:04136800B0EF45514C +:041369004791F2DFD7 +:04136A006E87FB632C +:04136B000885751369 +:04136C00F7850513E9 +:04136D0000153493A0 +:04136E00C78347A248 +:04136F00C78100F73B +:04137000000227B799 +:041371000513439C81 +:04137200B0EF037065 +:041373000513F05F0F +:04137400B0EF038053 +:0413750027B7EFDFC8 +:04137600A3030002CB +:0413770081190007D1 +:04137800731389055D +:04137900DC1A7FF308 +:04137A00802D4380FF +:04137B004793880507 +:04137C00DA3E001441 +:04137D0086A347A25A +:04137E00051300A7AC +:04137F00B0EF039038 +:041380000513ED1F45 +:04138100B0EF03A026 +:0413820027B7EC9FFE +:0413830043D400024D +:0413840047A25362C7 +:04138500573306B222 +:04138600C403008319 +:0413870082B100F738 +:04138800D73367E50B +:04138900879302E65E +:04138A0016E30087DF +:04138B0086E34404AD +:04138C00860346048A +:04138D004589000787 +:04138E0068C5D463F7 +:04138F00802346224F +:041390004785000786 +:0413910000F607A3B8 +:04139200ADB54411A0 +:04139300B68547894B +:04139400BEB1478D12 +:04139500BEA147911D +:04139600BE91479528 +:04139700BE81479933 +:04139800B6B1479D06 +:04139900B6A147A111 +:04139A00B69147A51C +:04139B000713676568 +:04139C00468301E79C +:04139D0046370007C8 +:04139E00B7930002FF +:04139F000023001611 +:0413A000971300F7A8 +:0413A1002783002777 +:0413A2009BED3C067D +:0413A30020238FD99B +:0413A40057923CF62A +:0413A5000007C70373 +:0413A60083A367E5D1 +:0413A700906302E766 +:0413A800C0EF380654 +:0413A9004505C3BF74 +:0413AA0096CFE0EF0B +:0413AB00B60147A997 +:0413AC00000227B75D +:0413AD00F71343846B +:0413AE00C0BA7FF44E +:0413AF004703676524 +:0413B00043DC01E732 +:0413B10007B2F76523 +:0413B200061383B1EA +:0413B30045813C0034 +:0413B40000024537B7 +:0413B500B0EFDC3E7B +:0413B6005792F2CF89 +:0413B700C5034585A0 +:0413B800D0EF00076B +:0413B900665D93AF2B +:0413BA00A4C60613AC +:0413BB00453745C1AC +:0413BC0000EF00023C +:0413BD00579249D02A +:0413BE0045376765E3 +:0413BF00C6830002DF +:0413C0004783000758 +:0413C1000713B20755 +:0413C200E781B20706 +:0413C300071367594C +:0413C40066597AC725 +:0413C5007B4606134A +:0413C600054145C1D7 +:0413C700473000EFBC +:0413C800C78347A2EE +:0413C900C3E900F77D +:0413CA0000024437A2 +:0413CB000613665D42 +:0413CC0045C1A586EC +:0413CD0002040513FE +:0413CE00457000EF77 +:0413CF0046F147A2FA +:0413D0008783665950 +:0413D100061300E718 +:0413D20045C17B8610 +:0413D30002D787B303 +:0413D400869366E5B1 +:0413D5000513B786BF +:0413D60096BE0304B8 +:0413D700433000EFB0 +:0413D8000613665D35 +:0413D90045C1A686DE +:0413DA0004040513EF +:0413DB00423000EFAD +:0413DC0000B4D793EF +:0413DD008B85D0A686 +:0413DE0005040513EA +:0413DF00069007135A +:0413E0000713E39973 +:0413E10007B7070043 +:0413E2008CFD00037B +:0413E30002A00793CA +:0413E4000793E099F2 +:0413E500566202004A +:0413E600A0EEC6B7F8 +:0413E700B006869333 +:0413E80002C6D6B3B0 +:0413E90006400613A1 +:0413EA000002443782 +:0413EB0002C6F5B38E +:0413EC0002C6D6B3AC +:0413ED00C22E665D49 +:0413EE00A7860613B5 +:0413EF00C03645C1FE +:0413F00000EF46863E +:0413F100665D3CD029 +:0413F200A90606132F +:0413F300051345C1D8 +:0413F40000EF0604FC +:0413F50056E23BD0B1 +:0413F6000613665D17 +:0413F70045C1AA063C +:0413F80007040513CE +:0413F9003AB000EF17 +:0413FA000002443772 +:0413FB000613665D12 +:0413FC0045C1AA46F7 +:0413FD0008040513C8 +:0413FE00397000EF53 +:0413FF000713665D0D +:04140000468105A07C +:04140100AB0606131D +:04140200051345C1C8 +:0414030000EF0904E9 +:041404000793381002 +:0414050043B83804AC +:041406000027671341 +:041407002623C3B81D +:04140800477D3C04DC +:04140900C7B8C3F8A5 +:04140A0047C2B551CF +:04140B00439C474275 +:04140C000107C7937A +:04140D00BD9DC31CA2 +:04140E00468547B216 +:04140F00C783470147 +:04141000E563000789 +:04141100078500F655 +:041412000FF7F713C6 +:04141300802347B239 +:0414140067E500E7A1 +:0414150001E7C783A1 +:041416006461E7B175 +:041417004F04041367 +:041418004641400CFD +:041419000002453751 +:04141A0052A010EFDD +:04141B00C70347B20A +:04141C00481C000761 +:04141D0097BA070A69 +:04141E004641438C74 +:04141F00000244374C +:0414200002040513AA +:0414210050E010EF98 +:041422003C042783DC +:041423000027E79324 +:041424003CF4202351 +:041425003C0426233A +:041426002223478DA9 +:0414270024233CF44A +:04142800B5293C04A2 +:04142900C78367E529 +:04142A00971301F71C +:04142B0067E500373A +:04142C008607879315 +:04142D00676197BAA2 +:04142E00E7070713B2 +:04142F0097E3439C60 +:04143000C0EFDEE744 +:04143100B3DDA1BFC7 +:04143200468547B2F2 +:04143300C783470123 +:04143400E563001755 +:04143500078500F631 +:041436000FF7F713A2 +:0414370080A347B295 +:0414380067E500E77D +:0414390001E7C7837D +:04143A006461FFD515 +:04143B004F04041343 +:04143C0008C4258338 +:04143D0045374641A8 +:04143E0010EF0002A9 +:04143F0047B24980E7 +:041440000017C703C7 +:0414410009C4278330 +:0414420047B2B7B541 +:04144300C7834759BB +:04144400906300674A +:04144500C78104E671 +:04144600F79317FD04 +:0414470047320FF722 +:0414480000F7032383 +:04144900C78367E509 +:04144A00FFAD01E70A +:04144B0004136461C1 +:04144C00484C4F04B5 +:04144D004537464198 +:04144E0010EF000299 +:04144F00473245805B +:041450004503505CA4 +:041451009782006717 +:04145200464165E5C5 +:04145300AA058593CE +:0414540046B9B735A9 +:04145500F363873E78 +:04145600473900F61C +:04145700070547B28C +:0414580000E7832303 +:0414590065DDB7C1D5 +:04145A004645656539 +:04145B00ABC5859305 +:04145C00A8C5051307 +:04145D0041E010EF6B +:04145E0065DD64657F +:04145F0085934645E6 +:041460000513ACC5FF +:0414610010EFAA04DA +:04146200473740C008 +:0414630027830002D9 +:0414640045053C07F7 +:04146500E79364E5C0 +:0414660020230047F8 +:04146700D0EF3CF78F +:041468008493A94F71 +:0414690017B7B78476 +:04146A00A7030002D2 +:04146B0067C10D0741 +:04146C008F7D17FD5C +:04146D00879367E515 +:04146E004681AB47C1 +:04146F00D583466D6E +:041470000663000708 +:04147100068500B735 +:041472009AE3078969 +:0414730047A2FEC6C8 +:0414740087834771B2 +:0414750087B300E752 +:04147600471502E72D +:041477004BDC97A60D +:04147800646383F531 +:04147900471102F71E +:04147A0006D74263EC +:04147B00973E01781F +:04147C00FDC747035E +:04147D0040D75733CA +:04147E00C7158B05FE +:04147F000178078A5F +:04148000A78397BAED +:041481008023FE477F +:0414820067E500D743 +:0414830000078F23AC +:0414840000024737E4 +:041485003C07278376 +:0414860020239BED97 +:0414870045013CF7E8 +:04148800C5AFD0EF2D +:04148900665DB1618A +:04148A0006130685BA +:04148B0045C5AD8620 +:04148C00AA04051396 +:04148D0015B000EFA7 +:04148E00D0EF450551 +:04148F00A5379F8F4F +:041490000513000739 +:04149100A0EF1205B1 +:04149200B7C1EB9F54 +:041493008EE347B1EC +:041494006509FAF6F6 +:0414950071050513C5 +:04149600EA7FA0EF5A +:0414970047A2B7A908 +:0414980064654671D0 +:0414990000E787835E +:04149A00B7840713F9 +:04149B00B7840413FB +:04149C0002C78633CA +:04149D0046659732D7 +:04149E000167470398 +:04149F0008C699637F +:0414A000C701467DBD +:0414A1007613177D2A +:0414A20046F10FF709 +:0414A30002D786B333 +:0414A40096A2676540 +:0414A50000C68B23CF +:0414A600092346854B +:0414A700676504D79A +:0414A80005374703BA +:0414A90000E79B635A +:0414AA0087B347714C +:0414AB0097A202E71B +:0414AC000167C7030A +:0414AD00952367E537 +:0414AE0067E504E703 +:0414AF0001E7C78307 +:0414B00067E1EFA958 +:0414B1000CC7A5833C +:0414B2004537464133 +:0414B30010EF000234 +:0414B40047A22C40DF +:0414B50006934771E2 +:0414B6008783465092 +:0414B700665900E78B +:0414B80078C60613D9 +:0414B90002E787B30C +:0414BA00943E45C552 +:0414BB0001644783FE +:0414BC00051364654B +:0414BD0087B3AA0443 +:0414BE00069302D7B8 +:0414BF00C6B306406A +:0414C00000EF02D760 +:0414C100464108D0C8 +:0414C200AA040593E0 +:0414C30046F9BB85A6 +:0414C400ECE346010E +:0414C5000705F6E63B +:0414C60067E5B7BD62 +:0414C70001F7C783DF +:0414C800003797133F +:0414C900879367E5B9 +:0414CA0097BA860740 +:0414CB00071367613B +:0414CC00B369E30716 +:0414CD00430144850E +:0414CE0065DD67E58C +:0414CF00A8C7851312 +:0414D0008593464575 +:0414D100DC1AAE85EE +:0414D20024A010EF53 +:0414D30046A9536271 +:0414D40006B347957F +:0414D500873602D381 +:0414D60000D7D36305 +:0414D70064654715EC +:0414D8000725665D21 +:0414D900AF860613C1 +:0414DA00051345C5EC +:0414DB00DC1AAA0469 +:0414DC0001F000EF2C +:0414DD00000247378B +:0414DE003C0727831D +:0414DF00E793450545 +:0414E000202300477E +:0414E100D0EF3CF715 +:0414E20017378ACF5F +:0414E300228300025E +:0414E40067410D0748 +:0414E500177D87A642 +:0414E600F4B35362A6 +:0414E700EF9900E297 +:0414E80067E5CC9157 +:0414E900AB47871373 +:0414EA00478146ED03 +:0414EB00000756039D +:0414EC0000C48D6348 +:0414ED00070907855F +:0414EE00FED79AE3A8 +:0414EF000513650973 +:0414F000DC1A71058C +:0414F100D3BFA0EFD6 +:0414F2004725B7C90A +:0414F30000E7886323 +:0414F4001363471126 +:0414F5004725000384 +:0414F60002E7DA63CC +:0414F70007854729F5 +:0414F80002E7E7B36D +:0414F90002E30333D4 +:0414FA00979A6765F1 +:0414FB0002F703A34E +:0414FC00EA7FC0EFD4 +:0414FD0066DDC901DE +:0414FE00A00686932B +:0414FF000613665911 +:04150000B5357B86FC +:04150100869366D98E +:04150200BFCD76469D +:04150300956347693C +:04150400431300E7A6 +:04150500B70D00130B +:0415060091E34731F5 +:04150700B3F5FAE757 +:04150800C70367E5C9 +:0415090057D201E7CD +:04150A00D807036398 +:04150B0047A9B441F7 +:04150C00D8AFF06FF5 +:04150D0078634715A3 +:04150E00470974F71E +:04150F0000E69863F7 +:04151000FFA7871397 +:041511000FF7771346 +:0415120072E67F639B +:0415130047094686B8 +:041514008023448567 +:04151500468900E61D +:04151600E96FF06F1A +:041517004685448D34 +:04151800E8EFF06F99 +:041519008D63461D7B +:04151A00460900C7B7 +:04151B0000C40023E5 +:04151C00EAAFF06FD3 +:04151D0000F40023B3 +:04151E00EA2FF06F51 +:04151F00B7FD460DC1 +:04152000B7ED4615C8 +:041521008DD50696C8 +:041522000FF5F59339 +:041523000045E59307 +:04152400EAAFF06FCB +:041525000004073780 +:04152600F06F8FD9FA +:041527004789F96F88 +:041528000FF57493B4 +:041529000087F863DC +:04152A000905751327 +:04152B00F7050513A8 +:04152C00905FF06F6D +:04152D000014D513BE +:04152E00001574939D +:04152F008FDFF06FEB +:041530008023060509 +:0415310047A200C706 +:0415320006136665D1 +:04153300439C03468C +:0415340002F3126349 +:0415350055D247A2A2 +:0415360000C7C783A0 +:0415370000B79C63FA +:0415380043DC47A2A7 +:04153900FCE78593B3 +:04153A0000B6E663AE +:04153B000327879368 +:04153C005AD7F8631F +:04153D000006002381 +:04153E00C58347B268 +:04153F0047A2019727 +:0415400003B7C60324 +:04154100849367E543 +:041542009763A18783 +:0415430047B20EC5D8 +:0415440001A7C583B3 +:04154500C60347A2F0 +:041546009F6303C7D5 +:0415470047B20CC5D6 +:0415480001B7C5839F +:04154900C60347A2EC +:04154A00976303D7C9 +:04154B0047B20CC5D2 +:04154C0001C7C5838B +:04154D00C60347A2E8 +:04154E009F6303E7AD +:04154F0047B20AC5D0 +:0415500001D7C58377 +:04155100C60347A2E4 +:04155200976303F7A1 +:0415530047B20AC5CC +:041554000147C58303 +:04155500C60347A2E0 +:041556009F63036725 +:0415570047B208C5CA +:041558000157C583EF +:04155900C60347A2DC +:04155A009763037719 +:04155B0047B208C5C6 +:04155C000167C583DB +:04155D00C60347A2D8 +:04155E009F630387FD +:04155F0047B206C5C4 +:041560000177C583C7 +:04156100C60347A2D4 +:0415620097630397F1 +:0415630047B206C5C0 +:041564000187C583B3 +:04156500C60347A2D0 +:041566009F6303A7D5 +:0415670067E504C56B +:04156800B3078793AB +:041569000277C583BD +:04156A000494C6031C +:04156B0004C59663BA +:04156C00879367E515 +:04156D00C583B30778 +:04156E00C603028727 +:04156F009D6304A4D0 +:0415700067E502C564 +:04157100B3078793A2 +:041572000337C583F3 +:041573000554C60352 +:0415740002C59463B5 +:04157500879367E50C +:04157600C583B3076F +:04157700C60303A7FD +:041578009B6305C4A8 +:0415790067E500C55D +:04157A00B307879399 +:04157B0003B7C5836A +:04157C0005D4C603C9 +:04157D0000C58963B9 +:04157E0086224589F3 +:04157F0000B47363DE +:041580007413460991 +:0415810047B20FF668 +:041582000257C583C4 +:04158300C60347A2B2 +:041584008A630477FB +:04158500860302C512 +:0415860045F100E744 +:0415870002B605B3F0 +:04158800061366657B +:04158900962EB7865D +:04158A0005934A106B +:04158B00821520D0D5 +:04158C007FF676135D +:04158D0000B6196328 +:04158E0086224589E3 +:04158F0000B47363CE +:041590007413460981 +:0415910047B20FF658 +:041592000267C583A4 +:04159300C60347A2A2 +:041594008A630487DB +:04159500860302C502 +:0415960045F100E734 +:0415970002B605B3E0 +:04159800061366656B +:04159900962EB7864D +:04159A0005934A105B +:04159B0082151C1089 +:04159C007FF676134D +:04159D0000B6196318 +:04159E0086224589D3 +:04159F0000B47363BE +:0415A0007413460971 +:0415A10047A20FF658 +:0415A2000002863786 +:0415A300C5834210AA +:0415A400826D02173B +:0415A50089638A3D8F +:0415A600458900C5AE +:0415A70073638622C2 +:0415A800460900B43C +:0415A9000FF67413B2 +:0415AA0045836665AA +:0415AB000793052677 +:0415AC00DC3E0526F6 +:0415AD008583C9C9A0 +:0415AE0043F100E421 +:0415AF0085B362E5B9 +:0415B0008513027528 +:0415B100C603B78234 +:0415B20043950164F8 +:0415B300498895AA24 +:0415B4000533897DF5 +:0415B500053302C533 +:0415B6000393027524 +:0415B70005130640D2 +:0415B800453303258F +:0415B900D383027561 +:0415BA00063300E50F +:0415BB00C38302766E +:0415BC0095320494CC +:0415BD008141054221 +:0415BE0000A49D23C5 +:0415BF0085634601F9 +:0415C0004D90000347 +:0415C1008A05820510 +:0415C200CEBA859A7E +:0415C300C09ACCB648 +:0415C400EA2FB0EF6B +:0415C50000E48603B5 +:0415C60067E545F19F +:0415C70002B606332F +:0415C800B7878293CC +:0415C9000164C58371 +:0415CA00C50392B211 +:0415CB00B0EF01621A +:0415CC008BA3867FE8 +:0415CD00430600A42D +:0415CE00477646E630 +:0415CF00E01186227F +:0415D0007413460545 +:0415D10057D20FF6E8 +:0415D200C0D4C49825 +:0415D30000F4862377 +:0415D400C70347B250 +:0415D500A023022429 +:0415D600C683006464 +:0415D7009D63000709 +:0415D800C6830EE6D2 +:0415D900C70300172D +:0415DA0097630234DD +:0415DB00C6830EE6CF +:0415DC00C70300271A +:0415DD0091630244D0 +:0415DE00C6830EE6CC +:0415DF00C703003707 +:0415E0009B630254B3 +:0415E100C6830CE6CB +:0415E200C7030067D4 +:0415E3009563028486 +:0415E40046150CE6B6 +:0415E50002A4859344 +:0415E60000878513E2 +:0415E7005A3000EF87 +:0415E80047B2ED45D4 +:0415E9008593461987 +:0415EA00851302F46F +:0415EB0000EF00D736 +:0415EC00E15D591054 +:0415ED00C70347B237 +:0415EE00C68302644A +:0415EF009D630047B1 +:0415F000C68308E6C0 +:0415F100C7030057D5 +:0415F2009763027485 +:0415F300C68308E6BD +:0415F400C7030077B2 +:0415F5009163029468 +:0415F60067E508E6B7 +:0415F700B30787931C +:0415F80001F7C683AE +:0415F9000414C7030C +:0415FA0006E6986306 +:0415FB00879367E586 +:0415FC00C683B307E8 +:0415FD00C703020717 +:0415FE009F630424BF +:0415FF0067E504E6B2 +:04160000B307879312 +:041601000217C68383 +:041602000434C703E2 +:0416030004E6966300 +:04160400879367E57C +:04160500C683B307DE +:04160600C7030227ED +:041607009D63044497 +:0416080067E502E6AA +:04160900B307879309 +:04160A0001E7C683AB +:04160B000404C70309 +:04160C0002E69463FB +:04160D00879367E573 +:04160E00C683B307D5 +:04160F00C7030367A3 +:041610009B6305844F +:0416110067E500E6A3 +:04161200B307879300 +:041613000357C68330 +:041614000574C7038F +:0416150000E6876301 +:04161600E011872236 +:0416170074134705FC +:0416180047B20FF7CF +:0416190004C4C7033B +:04161A0002A7C583DB +:04161B000E63DA2E52 +:04161C00454100B78D +:04161D00C5AFB0EFB6 +:04161E00891D55D2FB +:04161F008DC9058EDE +:041620000FF5F5933A +:04162100B0EF4541A0 +:0416220047B2C92FD3 +:0416230004D4C70321 +:0416240002B7C583C1 +:0416250000B70663A1 +:0416260003D00513D5 +:04162700C7CFB0EF8A +:04162800C70347B2FB +:04162900C58304E48D +:04162A00056302C78B +:04162B00454500B77A +:04162C00C68FB0EFC6 +:04162D00C68347B277 +:04162E00C583052447 +:04162F00C7030307E3 +:0416300096630317A3 +:04163100C68300B6B6 +:041632008B6305348D +:04163300454900E63F +:04163400B0EFC63A13 +:041635004732C46F05 +:0416360085BA454DDF +:04163700C3CFB0EF7E +:04163800879367E548 +:04163900C503B3072B +:04163A00C703029749 +:04163B00046304B48C +:04163C00470502A7B5 +:04163D0000A7786327 +:04163E004503676594 +:04163F00156103F737 +:041640000015351349 +:0416410005334761C5 +:04164200675D02E5F9 +:0416430077470713CB +:04164400B0EF953A34 +:0416450067E5D6CFB0 +:04164600B3078793CC +:0416470002F7C503DE +:041648000514C703BB +:0416490000A704638F +:04164A00F10FB0EFFD +:04164B00879367E535 +:04164C00C503B30718 +:04164D00C70302D7F6 +:04164E00046304F439 +:04164F00B0EF00A751 +:0416500067E5E34F18 +:04165100B3078793C1 +:0416520002E7C58363 +:041653000504C703C0 +:041654000F63C62E2C +:04165500456900B72C +:04165600B76FB0EFCB +:04165700751345B210 +:041658000592FCF506 +:04165900F5938DC9AF +:04165A0045690FF5DA +:04165B00BACFB0EF63 +:04165C00871367E5A4 +:04165D004703B30785 +:04165E00C68303C775 +:04165F00879305E484 +:04166000C583B30784 +:04166100966303D7B2 +:04166200C68300E655 +:041663008A6305F49D +:04166400058E00B639 +:04166500F5938DD993 +:0416660005130FF564 +:04166700B0EF02805E +:0416680067E5B7AFCC +:04166900B3078793A9 +:04166A0003E78713F8 +:04166B00461D853A59 +:04166C000604859358 +:04166D0038B000EFA2 +:04166E00871367E592 +:04166F00C501B6E714 +:04167000B0EF853A18 +:0416710067E5B98FE1 +:04167200B307871320 +:041673000377468330 +:041674000594C7030F +:0416750000E69A638E +:04167600B30787939C +:041677000387C6839C +:0416780005A4C703FB +:0416790002E685639D +:04167A00879367E506 +:04167B00C683B30768 +:04167C00C636023735 +:04167D00A14FB0EFDA +:04167E00051345818A +:04167F00B0EF0CE0DC +:0416800046B29CEFE3 +:04168100846347092E +:04168200B0EF00E6DF +:041683006765F3EFB5 +:0416840002474703CF +:0416850067E5C71539 +:04168600B30787938C +:041687000397C5837D +:0416880005B4C703DB +:0416890000B70E6335 +:04168A00058615D1EB +:04168B000FF5F593CF +:04168C00C62E45051C +:04168D00A1AFB0EF6A +:04168E00450945B213 +:04168F00A12FB0EFE8 +:04169000859367E5F2 +:041691000613B30782 +:041692008513046058 +:04169300A0EF02249E +:0416940057E2B79FC3 +:04169500802347095E +:041696000D630007D9 +:04169700471110E403 +:0416980006E40463FD +:041699001D63470581 +:04169A00C7830AE414 +:04169B00CBCD00F4BF +:04169C00BBBFA0EF41 +:04169D00E095A075BF +:04169E0000078603B8 +:04169F00596355F145 +:0416A000802300B6ED +:0416A10047A2000755 +:0416A200000787A313 +:0416A300BBCFF06F5A +:0416A4008023167D0C +:0416A500440100C735 +:0416A6008023B43DAC +:0416A700BFE5000794 +:0416A800000647836E +:0416A90089E3458507 +:0416AA000785A4B755 +:0416AB000FF7F793AB +:0416AC0000F6002321 +:0416AD00A4B792E369 +:0416AE0087A24609C0 +:0416AF0000C473639D +:0416B000F41347895F +:0416B100BC0D0FF766 +:0416B20000F4C70376 +:0416B3006765C3257F +:0416B400038707138E +:0416B5006409431C65 +:0416B60071040513A3 +:0416B7000027E7938E +:0416B8001737C31C01 +:0416B90020230002E8 +:0416BA00A0EF0EF798 +:0416BB0045C5E14FF1 +:0416BC00B0EF4559ED +:0416BD000513A26F00 +:0416BE00A0EF710424 +:0416BF004581E04F32 +:0416C000B0EF455DE5 +:0416C1000513A16FFD +:0416C200A0EF710420 +:0416C300C0EFDF4F46 +:0416C40067E5BD9F7A +:0416C500B30787934D +:0416C6000237C5031F +:0416C700961FB0EFCB +:0416C80012C0051334 +:0416C900DDAFA0EF02 +:0416CA00C75FE06FA7 +:0416CB000004A42350 +:0416CC00C73FB0EF75 +:0416CD000204C783C9 +:0416CE0046456565C3 +:0416CF000027971346 +:0416D000879367DDB8 +:0416D10097BA7487C9 +:0416D2000513438C2D +:0416D30000EFAEC5B1 +:0416D40065DD24505C +:0416D50046456565BC +:0416D600B045859303 +:0416D700B005051342 +:0416D800233000EFCC +:0416D900C0EF450514 +:0416DA00A0EFB15F6D +:0416DB00D002DB8FCF +:0416DC00B77DCE0206 +:0416DD0000F4C783CB +:0416DE00C0EFD7C5BD +:0416DF00B74DB6DF6E +:0416E00065E3471562 +:0416E10044898CF7B5 +:0416E200E06F4681EE +:0416E3000113F65F9A +:0416E400D6A6F7C1CE +:0416E500DA86C13EA2 +:0416E600D8A267E53A +:0416E700DEBADCB6D5 +:0416E800A047A483F0 +:0416E9000005DC63B9 +:0416EA0008B00793AA +:0416EB00557DC09CCD +:0416EC00544650D63A +:0416ED00011354B6DB +:0416EE0080820841AD +:0416EF0020800793BD +:0416F00000F11823CA +:0416F100CA2AC22A15 +:0416F2004781842E7A +:0416F3008793C1997F +:0416F400C63EFFF5FA +:0416F50018B4CC3E1B +:0416F600004C57FD50 +:0416F7001923852608 +:0416F800C03600F107 +:0416F90057FD2C5914 +:0416FA0000F555633F +:0416FB0008B0079399 +:0416FC00DC5DC09C55 +:0416FD00802347926D +:0416FE00BF5D0007C5 +:0416FF00A783C5C533 +:041700001141FFC5CF +:04170100C606C42232 +:04170200FFC5841388 +:041703000007D363A5 +:04170400C02A943E25 +:041705000BB000EF36 +:041706002783676569 +:04170700450205C7CB +:04170800EB91863AA1 +:041709000004222393 +:04170A0004872E23FF +:04170B0040B2442282 +:04170C00006F014128 +:04170D007F6309F0FD +:04170E00401400F48F +:04170F0000D40733C8 +:0417100000E79663F5 +:0417110043DC4398DA +:04171200C01897362E +:041713002E23C05C65 +:04171400BFE904869F +:0417150043DC873EEC +:041716007DE3C39913 +:041717004314FEF485 +:0417180000D70633BD +:0417190000861F63C4 +:04171A0096B2401033 +:04171B000633C314BA +:04171C009DE300D772 +:04171D004390FAC734 +:04171E0096B243DC60 +:04171F00C35CC314D0 +:041720007563B775C1 +:0417210047B100C408 +:04172200B74DC11CE2 +:0417230006B34010B9 +:04172400966300C404 +:04172500439400D712 +:0417260096B243DC58 +:04172700C05CC014CE +:04172800B769C3409A +:041729001141808268 +:04172A008493C226BC +:04172B0098F10035FC +:04172C00C422C60607 +:04172D00473104A19B +:04172E00FC6387AA27 +:04172F0044B104E4D9 +:0417300004B4EB63AF +:04173100C03E853EF3 +:04173200007000EF54 +:04173300268367653D +:04173400478205C71C +:0417350005C70613CB +:04173600E4318436E0 +:0417370004136465CE +:04173800401806044B +:04173900853EEB01FD +:04173A00C03E4581E7 +:04173B0079C000EF82 +:04173C00C008478218 +:04173D0085A6853EBA +:04173E0000EFC03EBA +:04173F00577D78E07A +:041740001663478263 +:04174100473106E541 +:04174200853EC39885 +:041743007C4000EFF7 +:04174400D7E3A0291E +:041745004731FA042A +:041746004501C398FE +:04174700442240B246 +:041748000141449285 +:041749004018808242 +:04174A004F638F0555 +:04174B0045AD02079F +:04174C0000E5F6635B +:04174D00943AC018F2 +:04174E00A029C0040A +:04174F009363405808 +:04175000C218028633 +:0417510000EF853EE2 +:04175200051378A063 +:04175300079300B444 +:041754009961004453 +:0417550040F5073321 +:04175600FCF502E3B9 +:041757008F89943AA8 +:04175800BF6DC01C85 +:04175900BFF9C2D83A +:04175A00404086A2E3 +:04175B000413B7BDFF +:04175C00987100354B +:04175D00FC8502E322 +:04175E0040A405B3EB +:04175F00C03E853EC5 +:04176000577D272169 +:0417610019E34782BF +:04176200BFB5FAE530 +:04176300C23211116C +:04176400C8264590BE +:04176500CA22CC06C2 +:04176600E46384AE06 +:0417670082AA08C684 +:0417680000C5D503E0 +:0417690048057713A5 +:04176A0048D0CB3D5B +:04176B004080470D66 +:04176C0002C7073376 +:04176D004609498C54 +:04176E0040B407B3C9 +:04176F004433C03E01 +:04177000861302C713 +:04177100963E00168A +:0417720000C47363D9 +:041773007513843234 +:04177400C636400530 +:041775008516C535DB +:04177600C41685A26E +:04177700ECBFF0EFE4 +:0417780046B242A291 +:04177900CD25473102 +:04177A00488C46024F +:04177B00C42AC63680 +:04177C00FD6FA0EF6E +:04177D0000C4D603CB +:04177E0046B243220A +:04177F00B7F6761330 +:0417800008066613DE +:0417810000C49623E7 +:04178200A8234782CF +:04178300C8C0006476 +:041784000733933E56 +:04178500A02340F469 +:04178600863600643F +:04178700F363C498AC +:04178800863600C6DB +:0417890040884592BD +:04178A00A0EFC032DA +:04178B004498FB2F54 +:04178C0045014602CB +:04178D00C4988F115C +:04178E00963A4098AF +:04178F00A80DC09051 +:041790008622851612 +:041791002571C416E4 +:0417920046B242A277 +:04179300FD4D832A5B +:041794008516488CE2 +:04179500F0EFC0169B +:041796004282DA7F32 +:04179700A023473113 +:04179800D70300E291 +:04179900557D00C4B6 +:04179A0004076713C6 +:04179B0000E49623AD +:04179C00445240E291 +:04179D00017144C2D0 +:04179E00D70380826B +:04179F00011300C56D +:0417A000C122F781EA +:0417A1000793DEA626 +:0417A200C30601F188 +:0417A300C02EC22A68 +:0417A40008077713A8 +:0417A500F41384B203 +:0417A600CB1DFF87D1 +:0417A700EB0D499865 +:0417A80004000593A1 +:0417A900F0EFC63661 +:0417AA004782E01F73 +:0417AB00C38846B2F7 +:0417AC00E919CB88E4 +:0417AD0047B14712E7 +:0417AE00C31C557D86 +:0417AF00440A409A0E +:0417B000011354F6D7 +:0417B10080820881A9 +:0417B2000713478250 +:0417B300CBD804008B +:0417B4000200071315 +:0417B50000E40CA39D +:0417B6000300071312 +:0417B70000042A23DD +:0417B80000E40D2319 +:0417B9008726CA367F +:0417BA0002500613C0 +:0417BB00000746835A +:0417BC009663C299D5 +:0417BD0006B30AC69F +:0417BE0001634097EC +:0417BF0045820297C6 +:0417C0008626451222 +:0417C100C636C83A26 +:0417C200E85FF0EFFD +:0417C3000A63567DE2 +:0417C40048501AC5AA +:0417C500474246B29F +:0417C600C85096363B +:0417C700000746834E +:0417C8001A06816319 +:0417C900001704936E +:0417CA002023577D04 +:0417CB0026230004CD +:0417CC00C0580004FD +:0417CD0000042423CD +:0417CE00040401A36B +:0417CF0004042C23BF +:0417D0000004C583C9 +:0417D100461567E171 +:0417D200D98785131B +:0417D300401423A5F6 +:0417D4000014871363 +:0417D500F613E539E9 +:0417D600C609010639 +:0417D70002000613F3 +:0417D80004C401A3A1 +:0417D9000086F6137D +:0417DA000613C60923 +:0417DB0001A302B0B4 +:0417DC00C58304C4F9 +:0417DD0006130004EB +:0417DE008F6302A073 +:0417DF00445402C5A7 +:0417E0004581872692 +:0417E10042A94525AF +:0417E20000074603B3 +:0417E30000170313D5 +:0417E400FD060613E5 +:0417E50006C577635B +:0417E600C454C98599 +:0417E7000705A0351D +:0417E80067E1B7B14D +:0417E900D987861303 +:0417EA0046058D1112 +:0417EB0000A615330C +:0417EC00C0148EC9CE +:0417ED00B76984BA9A +:0417EE0005934652C7 +:0417EF00421000465E +:0417F0004963CA2E51 +:0417F100C4500206D8 +:0417F20000074603A3 +:0417F30002E0069377 +:0417F40006D61163A1 +:0417F5000017460390 +:0417F60002A00693B4 +:0417F70002D61B6398 +:0417F800070946D2C5 +:0417F900004686130D +:0417FA00CA32429419 +:0417FB000206C163BE +:0417FC00A081C054B4 +:0417FD0040C00633AF +:0417FE000026E69348 +:0417FF00C014C450FE +:0418000086B3B7E113 +:04180100871A0256EA +:0418020096B24585D0 +:0418030056FDBFB51A +:041804000705B7C558 +:041805000004222396 +:041806004681458151 +:0418070042A9452588 +:04180800000746038C +:0418090000170313AE +:04180A00FD060613BE +:04180B0006C5736338 +:04180C004583F1E13E +:04180D0064E100078B +:04180E008513460DEB +:04180F00C63ADA04F7 +:04181000473229959D +:041811008493CD01EE +:041812008D05DA0462 +:041813000400069334 +:0418140000A696B3E1 +:04181500070540087B +:04181600C0088D5524 +:0418170000074583FE +:0418180046196561A7 +:04181900DA45051394 +:04181A00001704931C +:04181B0000B40C23E6 +:04181C00C135219120 +:04181D0000000713AD +:04181E004014E70D7E +:04181F00F6934752A3 +:04182000CE9110064F +:04182100CA3A0711A7 +:0418220047A2485839 +:04182300C858973ECC +:0418240086B3BD9931 +:04182500871A0256C6 +:0418260096B24585AC +:041827000721B7518D +:041828004602B7DDE0 +:0418290066D9451225 +:04182A008693085841 +:04182B0085A2D8C6F4 +:04182C000000009721 +:04182D00000000E7D0 +:04182E0047A2C42ADF +:04182F0095E3577D69 +:041830004782FCE708 +:04183100D703557D07 +:04183200771300C761 +:0418330017E30407AC +:041834004848DE073B +:041835004602B3E5CF +:0418360066D9451218 +:041837008693085834 +:0418380085A2D8C6E7 +:04183900BFC92215EC +:04183A0087AE110163 +:04183B0085B6CA267E +:04183C00479484BA8F +:04183D00CC224B98D6 +:04183E00842ACE0624 +:04183F00536383323A +:04184000873600D710 +:0418410000E320237D +:041842000437C6831E +:041843000705C6814E +:0418440000E320237A +:04184500771343983A +:04184600C7110207BD +:041847000003270370 +:041848002023070949 +:04184900439800E3DD +:04184A000197861369 +:04184B00C3358B19FD +:04184C00C683439874 +:04184D0077130437D2 +:04184E0036B30207A4 +:04184F00E75100D08D +:0418500004378613C0 +:04185100C41A85220E +:04185200C02EC23EA4 +:04185300577D9482A7 +:0418540006E507633B +:041855004322479251 +:0418560043944582F0 +:041857000003260361 +:041858008A99431115 +:04185900470147C834 +:04185A00006697632A +:04185B0040C507334A +:04185C0000075363CB +:04185D004794470164 +:04185E0054634B90F4 +:04185F008E9100D690 +:041860004301973673 +:0418610001A7861342 +:0418620006671063A2 +:04186300A80D450186 +:0418640047D4070559 +:041865000003250354 +:041866005BE38E8929 +:041867004685F8D7E3 +:04186800C81A8522F3 +:04186900C43AC63E79 +:04186A00C02EC23298 +:04186B0056FD948210 +:04186C004612458259 +:04186D0047B2472215 +:04186E001BE34342F3 +:04186F00557DFCD5D2 +:04187000446240F29C +:04187100610544D2F7 +:0418720086338082B7 +:04187300051300D782 +:0418740001A30300C9 +:04187500C60304A6FC +:041876008713045779 +:04187700973E001682 +:0418780001A3068939 +:04187900BFA904C738 +:04187A0085224685F8 +:04187B00C43AC61A8B +:04187C00C02EC23286 +:04187D0057FD9482FD +:04187E00FCF503E38F +:04187F004722433287 +:041880004582461245 +:04188100B74903055B +:04188200CC22110162 +:04188300CE06CA269D +:04188400842EC02AC4 +:04188500043585138E +:041886000185C58390 +:04188700C23284B62F +:04188800078006933C +:0418890000B6EC6356 +:04188A00062006939B +:04188B0000B6ED6353 +:04188C001C058C6348 +:04188D000580069339 +:04188E0012D58D637F +:04188F000424031317 +:0418900004B4012378 +:041891008693A8058D +:04189200F693F9D5FB +:0418930046550FF6B1 +:04189400FED666E333 +:04189500068A6661F8 +:04189600DD46061312 +:04189700429496B22F +:0418980043148682ED +:04189900042403130D +:04189A00004686136B +:04189B004298C3109C +:04189C0004E401233C +:04189D00AA754705DC +:04189E0023034014CC +:04189F00F613000735 +:0418A000059308069E +:0418A100C20D004331 +:0418A2000003268396 +:0418A3006661C30CAB +:0418A4000006D863FF +:0418A50002D0071353 +:0418A60040D006B375 +:0418A70004E401A3B1 +:0418A800DAC6061383 +:0418A900A0B9472972 +:0418AA000406F61327 +:0418AB00000326838D +:0418AC00DE71C30C1A +:0418AD0086C106C228 +:0418AE004010BFD94E +:0418AF0072934314D9 +:0418B0008313080690 +:0418B1008663004604 +:0418B20020230002ED +:0418B30042940067F4 +:0418B4007613A801FE +:0418B50020230406E2 +:0418B600DA75006778 +:0418B7000006D683CE +:0418B800071366614B +:0418B900061306F01C +:0418BA008763DAC6A0 +:0418BB0047290EE5C6 +:0418BC00040401A37C +:0418BD00C40C404CCB +:0418BE000005C863F6 +:0418BF0000042303FB +:0418C000FFB37313EC +:0418C100006420237C +:0418C200832AE299FA +:0418C300832ACD990E +:0418C40002E6F5B390 +:0418C50095B2137D48 +:0418C6000005C583D1 +:0418C70000B3002347 +:0418C800D6B385B658 +:0418C900F5E302E65B +:0418CA0046A1FEE550 +:0418CB0000D71E63C1 +:0418CC008B05401830 +:0418CD004054CB11A7 +:0418CE00476348180C +:0418CF00071300D724 +:0418D0000FA303005F +:0418D100137DFEE3A2 +:0418D2004065053335 +:0418D3004692C80869 +:0418D400872645021C +:0418D50085A20810D0 +:0418D600F0EFC41A51 +:0418D700577DD8FF62 +:0418D8001C63432228 +:0418D900557D0CE548 +:0418DA00446240F232 +:0418DB00610544D28D +:0418DC00666180823F +:0418DD0004B402A3AA +:0418DE00DAC606134D +:0418DF002303400C93 +:0418E000F293000778 +:0418E100268308054D +:0418E20003110003EB +:0418E30002028D630D +:0418E4000067202356 +:0418E5000015F713E0 +:0418E600E593C701BE +:0418E700C00C02052A +:0418E800F6B94741C5 +:0418E900F593400C27 +:0418EA00C00CFDF53C +:0418EB004014B7915D +:0418EC000206E69377 +:0418ED000693C0148A +:0418EE0066610780A8 +:0418EF0004D402A378 +:0418F000DC060613F9 +:0418F100F293BF654A +:0418F20020230405A6 +:0418F30083E3006724 +:0418F40006C2FC022A +:0418F500BF7D82C170 +:0418F600BF194721AE +:0418F7004314401046 +:0418F8007293484C53 +:0418F9008313080647 +:0418FA0087630046BA +:0418FB0020230002A4 +:0418FC0042980067A7 +:0418FD00A809C30C67 +:0418FE00006720233C +:0418FF000406761352 +:04190000DA6D4298C2 +:0419010000B71023F8 +:041902000004282392 +:04190300B781832AFB +:0419040045814314C2 +:0419050000468613FF +:04190600A303C31064 +:041907004050000646 +:04190800C41A851A5E +:04190900432228410C +:04190A000533C501DB +:04190B00C04840652B +:04190C00C81840585F +:04190D00040401A32A +:04190E004814BF19A1 +:04190F0045024592B6 +:041910009482861A1D +:0419110000E3577D1B +:041912004018F2E5A2 +:04191300EB0D8B0944 +:0419140044484742BA +:04191500F0E55AE3BC +:04191600B739853A1E +:0419170045024592AE +:04191800C63A468500 +:041919009482C432BE +:04191A000EE356FD85 +:04191B004732EED58C +:04191C000705462253 +:04191D0045C2445427 +:04191E0041E38E8D86 +:04191F00BFC9FED767 +:041920000613470162 +:04192100B7FD019479 +:04192200C22211517B +:04192300842AC0262C +:04192400852E64E5C3 +:04192500AC23C40625 +:041926002A15040476 +:04192700166357FDEF +:04192800A78300F59C +:04192900C3910584DD +:04192A0040A2C01CFB +:04192B00448244129C +:04192C008082013183 +:04192D000FF5F5932A +:04192E001463962A7E +:04192F00450100C5A9 +:0419300047838082E7 +:041931008DE300053D +:041932000505FEB7F2 +:041933008082B7FDFA +:04193400E581808247 +:04193500F06F85B218 +:041936001131FD0F5F +:04193700C622C806F6 +:04193800EA11C426C6 +:04193900F18FF0EF4B +:04193A0040C24781DF +:04193B0044A244324C +:04193C000151853E92 +:04193D00842E8082F2 +:04193E0084AAC03285 +:04193F004602203DFF +:0419400074E387A223 +:0419410085B2FEC5A8 +:04194200C232852602 +:04194300F9AFF0EF19 +:04194400DD6187AA30 +:0419450085A246121F +:04194600A0EFC02A24 +:0419470085A28ACF1C +:04194800F0EF852611 +:041949004782EDAF35 +:04194A00A783B7C9EF +:04194B008513FFC53C +:04194C00D563FFC799 +:04194D0095AA000750 +:04194E00953E419CE5 +:04194F00470180824A +:0419500000E6146336 +:04195100808245014A +:0419520000E507B3F2 +:0419530086B307054B +:04195400C78300E560 +:04195500C68300073E +:0419560083E3FFF632 +:041957008533FED7FF +:04195800808240D772 +:04195900167DC60D24 +:04195A0007B3470187 +:04195B0086B300E56A +:04195C00C78300E558 +:04195D00C683000736 +:04195E009463000688 +:04195F00156300D735 +:04196000853300C704 +:04196100808240D769 +:04196200F3E507059D +:041963004501BFDD9E +:0419640087AA80824C +:04196500C703CA09E1 +:0419660005850005EE +:041967008FA30785BE +:04196800167DFEE703 +:04196900963EFB6546 +:04196A0000C79363BC +:04196B0007858082EA +:04196C00FE078FA340 +:04196D0095AABFD5A3 +:04196E00856387AA5C +:04196F00C70300B7F3 +:04197000E701000784 +:0419710040A78533D3 +:0419720007858082E3 +:0419730063E5B7FD74 +:041974000643A783FC +:04197500C222115128 +:04197600842AC406F5 +:04197700064383930D +:041978004501E79DA1 +:04197900460145815D +:04197A00470146815A +:04197B000D60029366 +:04197C0000000073F4 +:04197D001A6357FD95 +:04197E00283500F513 +:04197F00C11C47B18F +:0419800040A2557DAF +:0419810001314412DA +:04198200A02380829C +:04198300A30300A317 +:041984004581000396 +:0419850005334601DF +:041986004681006432 +:04198700478147014C +:041988000D60029359 +:0419890000000073E7 +:04198A0018E3941AB0 +:04198B00A023FC8514 +:04198C00851A00A315 +:04198D0067E5B7F95A +:04198E00A047A503C6 +:04198F000000808252 +:041990000000000053 +:041991000000000052 +:041992000000000051 +:041993000000000050 +:041994004320445355 +:041995002044524157 +:041996004C4C495715 +:0419970000454220A5 +:041998005245564F0F +:041999005449525704 +:04199A00214E455441 +:04199B0045002121C1 +:04199C00726F70787E +:04199D0031203F7442 +:04199E00202C593D63 +:04199F00004E3D3287 +:0419A00073657250A9 +:0419A100203120735E +:0419A2003220726F0E +:0419A3000000000040 +:0419A4004D9000EB77 +:0419A5004E495753FD +:0419A60000312E34AA +:0419A70000800402B6 +:0419A8000008000231 +:0419A9000020F880A2 +:0419AA0000FF003FFB +:0419AB00F42900809B +:0419AC004F04C6CF4F +:0419AD0050435353FD +:0419AE0049464F5205 +:0419AF004146534C0E +:0419B0002036315458 +:0419B10000002020F2 +:0419B2004353534FF9 +:0419B300464F5250F9 +:0419B400204E494236 +:0419B500B5048E00E7 +:0419B600516F516FAD +:0419B700891700008C +:0419B8000002516F69 +:0419B9000010020018 +:0419BA0000010454D0 +:0419BB000001045AC9 +:0419BC0000010460C2 +:0419BD0000010466BB +:0419BE000001040818 +:0419BF0000010428F7 +:0419C00000010428F6 +:0419C10000010428F5 +:0419C20000010428F4 +:0419C30000010428F3 +:0419C40000010428F2 +:0419C5000001042CED +:0419C60000010432E6 +:0419C7006C206F4ED3 +:0419C800006B6E69D9 +:0419C9006D20642504 +:0419CA0000000056C3 +:0419CB00252E75252B +:0419CC002075322E22 +:0419CD00000073752E +:0419CE002525752531 +:0419CF000000000014 +:0419D0006C207525ED +:0419D10073656E6963 :0419D2000000000011 -:0419D3006E6163538B -:0419D400766E6F6359 -:0419D500657472655E -:0419D6006F632072A9 -:0419D7006769666E68 -:0419D80061686320BF -:0419D9000A65676EC6 +:0419D30070207525E6 +:0419D4006C6578695D +:0419D500000000739B +:0419D6000000752573 +:0419D70064206425FF +:0419D80000000042C9 +:0419D900656E6F4484 :0419DA000000000009 -:0419DB0044202A2A50 -:0419DC0047554245E4 -:0419DD004955422006 -:0419DE002A20444C2B -:0419DF000000000004 -:0419E0000F031F01D1 -:0419E10000030303F9 -:0419E20000013CA420 -:0419E30000013D7E44 -:0419E40000013D7E43 -:0419E50000013D942C -:0419E60000013D942B -:0419E70000013D9E20 -:0419E80000013DA21B -:0419E90000013DB00C -:0419EA0000013DB00B -:0419EB0000013DC2F8 -:0419EC000001432093 -:0419ED00000143288A -:0419EE000001431899 -:0419EF00000143248C -:0419F0000001432C83 -:0419F1000001431C92 -:0419F2000001437835 -:0419F300000143307C -:0419F4000001433477 -:0419F5000001433872 -:0419F6000001437831 -:0419F7000001437830 -:0419F800000143782F -:0419F900000143782E -:0419FA00000143782D -:0419FB00000149108E -:0419FC000001437C27 -:0419FD000001450A96 -:0419FE000001451C83 -:0419FF00000145ACF2 -:041A0000000145EEAE -:041A0100000145EEAD -:041A02000001464A4F -:041A03000001474E49 -:041A04000001474E48 -:041A05000001482470 -:041A06002D62755385 -:041A0700656E696C33 -:041A080073203120F6 -:041A090000007274F3 -:041A0A002D62755381 -:041A0B00656E696C2F -:041A0C0073203220F1 -:041A0D0000007274EF -:041A0E002D6275537D -:041A0F00656E696C2B -:041A100073203320EC -:041A110000007274EB -:041A12002D62755379 -:041A1300656E696C27 -:041A140073203420E7 -:041A150000007274E7 -:041A16002D62755375 -:041A1700656E696C23 -:041A180073203520E2 -:041A190000007274E3 -:041A1A002D62755371 -:041A1B00756C6F6314 -:041A1C0031206E6D9A -:041A1D00727473204C -:041A1E0000000000C4 -:041A1F002D6275536C -:041A2000756C6F630F -:041A210032206E6D94 -:041A22007274732047 -:041A230000000000BF -:041A24002D62755367 -:041A2500756C6F630A -:041A260033206E6D8E -:041A27007274732042 -:041A280000000000BA -:041A29002D62755362 -:041A2A00756C6F6305 -:041A2B0034206E6D88 -:041A2C00727473203D -:041A2D0000000000B5 -:041A2E002D6275535D -:041A2F00756C6F6300 -:041A300035206E6D82 -:041A31007274732038 -:041A320000000000B0 -:041A33002D62755358 -:041A3400756C6F63FB -:041A350036206E6D7C -:041A36007274732033 -:041A370000000000AB -:041A380073202E48A1 -:041A39006C706D61FF -:041A3A0074617265FC -:041A3B000000006542 -:041A3C0073202E489D -:041A3D007461722E30 -:041A3E007266206547 -:041A3F0000006361DF -:041A400073202E4899 -:041A41006C636E79EB -:041A420000006E65CD -:041A430062202E48A7 -:041A4400706B6361FF -:041A45006863726FF1 -:041A4600000000009C -:041A470061202E48A4 -:041A480076697463E4 -:041A49000000006534 -:041A4A0073202E5681 -:041A4B006C636E79E1 -:041A4C0000006E65C3 -:041A4D0062202E568F -:041A4E00706B6361F5 -:041A4F006863726FE7 -:041A50000000000092 -:041A510061202E568C -:041A520076697463DA -:041A5300000000652A -:041A5400706D6153FD -:041A5500676E696CE3 -:041A56006168702033 -:041A570000006573B3 -:041A580000007332E5 -:041A590000007335E1 -:041A5A0000733031B4 -:041A5B000066664F6C -:041A5C006C6C7546F3 -:041A5D000000000085 -:041A5E00706D6953EB -:041A5F000000656CB2 -:041A600000007333DC -:041A610000733033AB -:041A620072727543E4 -:041A630020746E6518 -:041A640075706E69C2 -:041A65000000007409 -:041A6600206C6C4143 -:041A670075706E69BF -:041A68000000737493 -:041A69002066664F3E -:041A6A002073662857 -:041A6B003639203DAB -:041A6C00297A486B20 -:041A6D000000000075 -:041A6E00202078328A -:041A6F002073662852 -:041A70003834203DA9 -:041A7100297A486B1B -:041A72000000000070 -:041A730000315641A7 -:041A740000325641A5 -:041A750063616C42FB -:041A76000000006B01 -:041A770065756C42E3 -:041A7800000000006A -:041A790065657247E6 -:041A7A000000006EFA -:041A7B006E617943DC -:041A7C000000000066 -:041A7D00006465524A -:041A7E006567614DEA -:041A7F000061746E20 -:041A80006C6C6559CC -:041A81000000776F7B -:041A820074696857C4 -:041A830000000065FA -:041A840069726F48CC -:041A8500746E6F7A92 -:041A860000006C618F -:041A870074726556BA -:041A88006C616369C1 -:041A89000000000059 -:041A8A0069726F48C6 -:041A8B002B202E7A64 -:041A8C007265562009 -:041A8D0000002E74B3 -:041A8E0074737543B5 -:041A8F0000006D6F77 -:041A900000706F541F -:041A910074746F42B8 -:041A920000006D6F74 -:041A9300746C754DAD -:041A9400696C7069A0 -:041A950069746163AC -:041A960000006E6F6F -:041A970074627553AD -:041A980074636172A0 -:041A9900006E6F6903 -:041A9A006F747541AF -:041A9B000000000047 -:041A9C0000006E4F89 -:041A9D00494D444823 -:041A9E004752282063 -:041A9F0000002942D8 -:041AA000494D444820 -:041AA100435928205D -:041AA20034724362F5 -:041AA30000293434AE -:041AA400004956445B -:041AA50075657350A0 -:041AA60034206F6415 -:041AA7004420333A6A -:041AA80000005241A7 -:041AA90020313A317D -:041AAA000052415055 -:041AAB00303239316B -:041AAC003830317825 -:041AAD000000003005 -:041AAE00303036316D -:041AAF003032317828 -:041AB0000000003002 -:041AB1003032393165 -:041AB2003032317825 -:041AB30000000030FF -:041AB400656E6547AF -:041AB50020636972CF -:041AB600393A363152 -:041AB700000000002B -:041AB800656E6547AB -:041AB90020636972CB -:041ABA0000333A3487 -:041ABB007832313517 -:041ABC002030343270 -:041ABD006974706F69 -:041ABE0000002E6D89 -:041ABF00783438330C -:041AC000203034326C -:041AC1006974706F65 -:041AC20000002E6D85 -:041AC3007830323312 -:041AC4002030343268 -:041AC5006974706F61 -:041AC60000002E6D81 -:041AC7007836353206 -:041AC8002030343264 -:041AC9006974706F5D -:041ACA0000002E6D7D -:041ACB007373615080 -:041ACC007572687453 -:041ACD000000000015 -:041ACE00656E694C8C -:041ACF002820783221 -:041AD00029626F62B6 -:041AD1000000000011 -:041AD200656E694C88 -:041AD3000000783265 -:041AD400656E694C86 -:041AD500282078331A -:041AD6006563616C77 -:041AD700000029647E -:041AD800656E694C82 -:041AD9002820783415 -:041ADA0029626F62AC -:041ADB000000000007 -:041ADC00656E694C7E -:041ADD003220783209 -:041ADE0033783034F5 -:041ADF00000030369D -:041AE000656E694C7A -:041AE1003220783304 -:041AE20033783034F1 -:041AE3000000303699 -:041AE400656E694C76 -:041AE50047207833EB -:041AE60072656E6552 -:041AE700000063692F -:041AE800656E694C72 -:041AE900000078334E -:041AEA00656E694C70 -:041AEB00000078344B -:041AEC00656E694C6E -:041AED000000783548 -:041AEE004D382E340D -:041AEF0028207A48E9 -:041AF00056544448BC -:041AF1002943502F06 -:041AF20000000000F0 -:041AF3004D352E300F -:041AF40028207A48E4 -:041AF50056544453AC -:041AF60000000029C3 -:041AF7004D372E3108 -:041AF80028207A48E0 -:041AF90056544445B6 -:041AFA0000000029BF -:041AFB004D352E3205 -:041AFC0028207A48DC -:041AFD002978616D76 -:041AFE0000000000E4 -:041AFF00484D3031ED -:041B00006D28207AB2 -:041B010000296465EE -:041B0200484D3333E4 -:041B03006D28207AAF -:041B040000296E69DD -:041B050020414756DE -:041B060078303436C9 -:041B07004030303406 -:041B08000000303772 -:041B090020414756DA -:041B0A0078303237C6 -:041B0B004030303402 -:041B0C00000030376E -:041B0D0020565444C6 -:041B0E0070303834C7 -:041B0F0000000000D2 -:041B100041534556A2 -:041B11003034362016 -:041B120030383478BB -:041B13000030364028 -:041B140020505350BA -:041B150078303834B8 -:041B16000032373230 -:041B17002E63655282 -:041B18003130362012 -:041B190000000000C8 -:041B1A002E6365527F -:041B1B003930372006 -:041B1C0000000000C5 -:041B1D00484D3539C1 -:041B1E004828207AB9 -:041B1F0020565444B4 -:041B20000029494906 -:041B2100484D3533C3 -:041B22004828207AB5 -:041B230020565444B0 -:041B2400000029494B -:041B2500484D3631C0 -:041B26004528207AB4 -:041B270029565444A3 -:041B280000000000B9 -:041B29007A484D3970 -:041B2A0044532820D8 -:041B2B0000295654E3 -:041B2C00616F4C3C5D -:041B2D00727020644E -:041B2E006C69666F09 -:041B2F00003E2065EF -:041B30007661533C4B -:041B31007270206549 -:041B32006C69666F05 -:041B3300003E2065EB -:041B34007365523C47 -:041B35007320746540 -:041B360069747465F5 -:041B37003E73676E24 -:041B380000000000A9 -:041B39006B6E694C1A -:041B3A006F72702036 -:041B3B00693E2D666C -:041B3C007475706EDE -:041B3D0000000000A4 -:041B3E006B6E694C15 -:041B3F00706E69203B -:041B40003E2D74754D -:041B4100666F7270E9 -:041B4200000000009F -:041B430074696E490A -:041B4400206C616947 -:041B450075706E69E0 -:041B46000000007427 -:041B47006F74754101 -:041B480065746564F7 -:041B49006920746338 -:041B4A007475706ED0 -:041B4B000000000096 -:041B4C006F747541FC -:041B4D0031564120AC -:041B4E00472F5920A4 -:041B4F00000000731F -:041B50006F747541F8 -:041B510032564120A7 -:041B5200472F5920A0 -:041B5300000000731B -:041B54006F747541F4 -:041B550033564120A2 -:041B5600472F59209C -:041B57000000007317 -:041B58002044434C96 -:041B590074204C4266 -:041B5A006F656D69DD -:041B5B00000074759D -:041B5C000044534F9F -:041B5D002044534F7E -:041B5E0074617473C7 -:041B5F006420737516 -:041B60002E70736907 +:0419DB006C6961468C +:0419DC0028206465F6 +:0419DD000029642554 +:0419DE0000011A7A70 +:0419DF0000011A9455 +:0419E00000011A9E4A +:0419E10000011AD017 +:0419E20000011AAC3A +:0419E30064206425F3 +:0419E4000000676533 +:0419E500322E252E4B +:0419E6000000007588 +:0419E7006573753C73 +:0419E80000003E6459 +:0419E90044525355BC +:0419EA000041544123 +:0419EB00706D653C7A +:0419EC00003E7974CC +:0419ED00203A752502 +:0419EE00000073255D +:0419EF0074204B4FC6 +:0419F0006E69206F8D +:0419F1000000746915 +:0419F200736C61466B +:0419F3007274206585 +:0419F4006567676953 +:0419F500000000727C +:0419F600656D69545E +:0419F7000074756F94 +:0419F800252E7525FE +:0419F9006D75322EA8 +:0419FA000000007376 +:0419FB00252E7525FB +:0419FC006D75322EA5 +:0419FD0075252F73AA +:0419FE00322E252E32 +:0419FF0000736D758F +:041A00002520732505 +:041A010063252D75B7 +:041A020000000000E0 +:041A0300252E7525F2 +:041A04006B75322E9E +:041A050025207A48D6 +:041A06002E252E75E6 +:041A07007A48753272 +:041A080000000000DA +:041A090078303237C8 +:041A0A000030303444 +:041A0B0078303436C5 +:041A0C000030303442 +:041A0D00FFFFFFFFD9 +:041A0E00FFFFFFFFD8 +:041A0F000000FFFFD5 +:041A1000636E61435D +:041A1100656C6C652F +:041A1200000000646C +:041A1300462F4453C3 +:041A14006873616C26 +:041A15007272652064 +:041A16000000726FEB +:041A170061766E493D +:041A18002064696C71 +:041A19006172617025 +:041A1A00002E736DBA +:041A1B0053206F4E97 +:041A1C00616320449E +:041A1D00642064726B +:041A1E00002E7465BD +:041A1F006363755335 +:041A20000073736577 +:041A210046204453C4 +:041A2200616D726F11 +:041A2300000000744B +:041A240041463D31C9 +:041A25002C363154D6 +:041A2600523D3220DB +:041A27000000574123 +:041A28006F7078451E +:041A29006E697472FC +:041A2A002E2E2E67C7 +:041A2B0000000000B7 +:041A2C0073616C4630 +:041A2D006576206852 +:041A2E002066697253 +:041A2F006C69616617 +:041A300000000000B2 +:041A310061766E4923 +:041A32002064696C57 +:041A33002072646851 +:041A340000435243D6 +:041A350061766E491F +:041A36002064696C53 +:041A37006174616411 +:041A380043524320B2 +:041A390000000000A9 +:041A3A00616470551E +:041A3B00632065744B +:041A3C0065636E610F +:041A3D0064656C6C04 +:041A3E0000000000A4 +:041A3F0061766E4915 +:041A40002064696C49 +:041A410067616D6903 +:041A4200000000653B +:041A430061766E4911 +:041A44002064696C45 +:041A4500646165680B +:041A460000007265C5 +:041A47004353534F63 +:041A4800000000009A +:041A4900696C61560D +:041A4A0069746164F6 +:041A4B006420676E3E +:041A4C000061746160 +:041A4D006220752579 +:041A4E0073657479CF +:041A4F000000000093 +:041A5000252E7525A5 +:041A51002575322E97 +:041A52000073257385 +:041A53006164705505 +:041A5400676E6974DC +:041A550000574620D0 +:041A560061656C70EA +:041A5700772065731C +:041A58002E7469611E +:041A590000002E2E2D +:041A5A0069726556F2 +:041A5B006E697966D1 +:041A5C006C6620672D +:041A5D000068736149 +:041A5E0061656C70E2 +:041A5F007220657319 +:041A600061747365D5 +:041A6100000074729B +:041A620072746552E3 +:041A6300676E6979C8 +:041A64006470752015 +:041A65000065746143 +:041A660061647055F2 +:041A6700203F657443 +:041A68002C593D3187 +:041A69004E3D32209C +:041A6A000000000078 +:041A6B006D726946E9 +:041A6C0065726177C7 +:041A6D00647075200C +:041A6E0064657461D6 +:041A6F000000000073 +:041A700000013F949E +:041A710000013FDA57 +:041A720000013FE24E +:041A730000013FEA45 +:041A740064616F4CEE +:041A75002E676E6901 +:041A760000002E2E10 +:041A7700732064254F +:041A780073746F6CA8 +:041A7900616F6C200D +:041A7A00006465643B +:041A7B006F706D49D2 +:041A7C00203F747221 +:041A7D002C593D3172 +:041A7E004E3D322087 +:041A7F000000000063 +:041A80006C696146E6 +:041A81000000646598 +:041A820073657250C6 +:041A830000000073EC +:041A8400666E6F43D8 +:041A8500006D726915 +:041A86006D73694DC6 +:041A870068637461BB +:041A88006572202C37 +:041A890000797274FA +:041A8A004353534F20 +:041A8B00776620203A +:041A8C007525202E6E +:041A8D00322E252EA2 +:041A8E00000061757E +:041A8F0074696E49BF +:041A900072726520E9 +:041A91002020726F30 +:041A920000006425C7 +:041A9300666F7250B8 +:041A94003A656C69DA +:041A9500000000004D +:041A960065646F4DC7 +:041A970065727020E4 +:041A98003A746573C4 +:041A99000000000049 +:041A9A00646F6D49BF +:041A9B004628206554 +:041A9C002941475045 +:041A9D000000003A0B +:041A9E002D756C2511 +:041A9F006325632533 +:041AA000756C25201C +:041AA100322E252E8E +:041AA2007A48756C9D +:041AA300000000003F +:041AA400746E6343B6 +:041AA50066202F2068 +:041AA600656D617297 +:041AA7000000003A01 +:041AA80000756C2534 +:041AA9006D726946AB +:041AAA006572617789 +:041AAB000000003AFD +:041AAC00252E752549 +:041AAD006175322EFF +:041AAE000000000034 +:041AAF00656E694CAB +:041AB000746C756D70 +:041AB100646F6D20D1 +:041AB20000003A6591 +:041AB3007365727075 +:041AB4002D3120733D +:041AB50000000035F8 +:041AB60020787525FA +:041AB70075736E7560 +:041AB800726F707069 +:041AB90000646574EC +:041ABA00666F725091 +:041ABB0020656C69CD +:041ABC0064616F6C86 +:041ABD000000003AEB +:041ABE00736572706A +:041ABF0075252073F6 +:041AC0000075252D5B +:041AC10020202020A1 +:041AC20053204F4E10 +:041AC30000434E5935 +:041AC4003431303257 +:041AC5003230322D5C +:041AC6006D2020323D +:041AC7007371726164 +:041AC800000000001A +:041AC9000F031F01E7 +:041ACA00000303030F +:041ACB00000148B41A +:041ACC000001496864 +:041ACD000001496863 +:041ACE000001497E4C +:041ACF000001497E4B +:041AD0000001498840 +:041AD1000001498C3B +:041AD2000001499A2C +:041AD3000001499A2B +:041AD400000149AC18 +:041AD50000014E546A +:041AD60000014E5C61 +:041AD70000014E4C70 +:041AD80000014E5863 +:041AD90000014E605A +:041ADA0000014E5069 +:041ADB0000014EAC0C +:041ADC0000014E6453 +:041ADD0000014E684E +:041ADE0000014E6C49 +:041ADF0000014EAC08 +:041AE00000014EAC07 +:041AE10000014EAC06 +:041AE20000014EAC05 +:041AE30000014EAC04 +:041AE4000001542089 +:041AE50000014EB0FE +:041AE6000001502A81 +:041AE7000001503872 +:041AE800000150C8E1 +:041AE9000001510A9D +:041AEA000001510A9C +:041AEB00000151663F +:041AEC000001525E45 +:041AED000001525E44 +:041AEE00000153346C +:041AEF002D6275539C +:041AF000656E696C4A +:041AF100732031200D +:041AF200000072740A +:041AF3002D62755398 +:041AF400656E696C46 +:041AF5007320322008 +:041AF6000000727406 +:041AF7002D62755394 +:041AF800656E696C42 +:041AF9007320332003 +:041AFA000000727402 +:041AFB002D62755390 +:041AFC00656E696C3E +:041AFD0073203420FE +:041AFE0000007274FE +:041AFF002D6275538C +:041B0000656E696C39 +:041B010073203520F8 +:041B020000007274F9 +:041B03002D62755387 +:041B0400756C6F632A +:041B050031206E6DB0 +:041B06007274732062 +:041B070000000000DA +:041B08002D62755382 +:041B0900756C6F6325 +:041B0A0032206E6DAA +:041B0B00727473205D +:041B0C0000000000D5 +:041B0D002D6275537D +:041B0E00756C6F6320 +:041B0F0033206E6DA4 +:041B10007274732058 +:041B110000000000D0 +:041B12002D62755378 +:041B1300756C6F631B +:041B140034206E6D9E +:041B15007274732053 +:041B160000000000CB +:041B17002D62755373 +:041B1800756C6F6316 +:041B190035206E6D98 +:041B1A00727473204E +:041B1B0000000000C6 +:041B1C002D6275536E +:041B1D00756C6F6311 +:041B1E0036206E6D92 +:041B1F007274732049 +:041B200000000000C1 +:041B210073202E48B7 +:041B22006C706D6115 +:041B23007461726512 +:041B24000000006558 +:041B250073202E48B3 +:041B26007461722E46 +:041B2700726620655D +:041B280000006361F5 +:041B290073202E48AF +:041B2A006C636E7901 +:041B2B0000006E65E3 +:041B2C0062202E48BD +:041B2D00706B636115 +:041B2E006863726F07 +:041B2F0000000000B2 +:041B300061202E48BA +:041B310076697463FA +:041B3200000000654A +:041B330073202E5697 +:041B34006C636E79F7 +:041B350000006E65D9 +:041B360062202E56A5 +:041B3700706B63610B +:041B38006863726FFD +:041B390000000000A8 +:041B3A0061202E56A2 +:041B3B0076697463F0 +:041B3C000000006540 +:041B3D00706D615313 +:041B3E00676E696CF9 +:041B3F006168702049 +:041B400000006573C9 +:041B410000007332FB +:041B420000007335F7 +:041B430000733031CA +:041B44000066664F82 +:041B45006C6C754609 +:041B4600000000009B +:041B4700706D695301 +:041B48000000656CC8 +:041B490000007333F2 +:041B4A0000733033C1 +:041B4B0072727543FA +:041B4C0020746E652E +:041B4D0075706E69D8 +:041B4E00000000741F +:041B4F00206C6C4159 +:041B500075706E69D5 +:041B510000007374A9 +:041B52002066664F54 +:041B5300207366286D +:041B54003639203DC1 +:041B5500297A486B36 +:041B5600000000008B +:041B570020207832A0 +:041B58002073662868 +:041B59003834203DBF +:041B5A00297A486B31 +:041B5B000000000086 +:041B5C0000315641BD +:041B5D0000325641BB +:041B5E0063616C4211 +:041B5F000000006B17 +:041B600065756C42F9 :041B61000000000080 -:041B62006E776F44E7 -:041B63006D61732D10 -:041B64006E696C70CA -:041B65000000006715 -:041B660070617753E0 -:041B670066656C2023 -:041B680069722F74FB -:041B69000074686735 -:041B6A002D65725023 -:041B6B00204344418E -:041B6C006E696167D6 -:041B6D000000000074 -:041B6E006C6C7546E0 -:041B6F002058542086 -:041B700075746573B0 -:041B71000000007000 -:041B72002033564185 -:041B730065746E69BE -:041B740063616C72CB -:041B750078696665C0 -:041B7600000000006B -:041B77002033564180 -:041B780020657375FC -:041B79002E746C61F9 -:041B7A00424752206C -:041B7B000000000066 -:041B7C0061666544F5 -:041B7D0020746C75EF -:041B7E00494D444841 -:041B7F004349562060 -:041B80000000000061 -:041B8100616E6150E0 -:041B8200696E6F73A6 -:041B83006168206312 -:041B840000006B638F -:041B850069726F48CA -:041B8600746E6F7A90 -:041B87006D206C6100 -:041B8800006B73611A -:041B890074726556B7 -:041B8A006C616369BE -:041B8B0073616D20F5 -:041B8C000000006BEA -:041B8D006B73614DC8 -:041B8E006C6F6320F5 -:041B8F000000726F71 -:041B90006B73614DC5 -:041B910069726220F3 -:041B92006E7468679E -:041B93000073736503 -:041B940065766552BB -:041B950020657372E2 -:041B96000046504C69 -:041B97005949443C28 -:041B980074616C20E8 -:041B99006574202E21 -:041B9A00003E747322 -:041B9B006E616353C1 -:041B9C00656E696C9D -:041B9D0000000073D1 -:041B9E00202E6C5336 -:041B9F006572747384 -:041BA0006874676E90 -:041BA1000000000040 -:041BA200202E6C5332 -:041BA3007262796889 -:041BA40073206469DD -:041BA500002E727428 -:041BA600202E6C532E -:041BA7006874656D8C -:041BA8000000646F66 -:041BA900202E6C532B -:041BAA0065746C6191 -:041BAB0074616E7281 -:041BAC0000676E69F7 -:041BAD00202E6C5327 -:041BAE0067696C6196 -:041BAF006E656D6E84 -:041BB00000000074BD -:041BB100202E6C5323 -:041BB20020746C61CE -:041BB30065746E697E -:041BB4006C61767278 -:041BB500000000002C -:041BB600202E6C531E -:041BB7006570797468 -:041BB8000000000029 -:041BB9004320203C69 -:041BBA006F7473755C -:041BBB006C53206DDA -:041BBC003E20202E79 -:041BBD000000000024 -:041BBE00703034321D -:041BBF003838322F51 -:041BC00072702070AF -:041BC1000000636F4E -:041BC2007034383310 -:041BC3003030342F5B -:041BC40072702070AB -:041BC5000000636F4A -:041BC6006930383416 -:041BC7003637352F49 -:041BC80072702069AE -:041BC9000000636F46 -:041BCA00703038340B -:041BCB003637352F45 -:041BCC0072702070A3 -:041BCD000000636F42 -:041BCE00693036390B -:041BCF003830312F4A -:041BD00070206930E8 -:041BD10000636F72CC -:041BD200656E694C87 -:041BD3006D207832D7 -:041BD4000065646FD5 +:041B620065657247FC +:041B63000000006E10 +:041B64006E617943F2 +:041B6500000000007C +:041B66000064655260 +:041B67006567614D00 +:041B68000061746E36 +:041B69006C6C6559E2 +:041B6A000000776F91 +:041B6B0074696857DA +:041B6C000000006510 +:041B6D0069726F48E2 +:041B6E00746E6F7AA8 +:041B6F0000006C61A5 +:041B700074726556D0 +:041B71006C616369D7 +:041B7200000000006F +:041B730069726F48DC +:041B74002B202E7A7A +:041B7500726556201F +:041B760000002E74C9 +:041B770074737543CB +:041B780000006D6F8D +:041B790000706F5435 +:041B7A0074746F42CE +:041B7B0000006D6F8A +:041B7C00746C754DC3 +:041B7D00696C7069B6 +:041B7E0069746163C2 +:041B7F0000006E6F85 +:041B800074627553C3 +:041B810074636172B6 +:041B8200006E6F6919 +:041B83006F747541C5 +:041B8400000000005D +:041B850000006E4F9F +:041B8600494D444839 +:041B87004752282079 +:041B880000002942EE +:041B8900494D444836 +:041B8A004359282073 +:041B8B00347243620B +:041B8C0000293434C4 +:041B8D000049564471 +:041B8E0075657350B6 +:041B8F0034206F642B +:041B90004420333A80 +:041B910000005241BD +:041B920020313A3193 +:041B9300005241506B +:041B94003032393181 +:041B9500383031783B +:041B9600000000301B +:041B97003030363183 +:041B9800303231783E +:041B99000000003018 +:041B9A00303239317B +:041B9B00303231783B +:041B9C000000003015 +:041B9D00656E6547C5 +:041B9E0020636972E5 +:041B9F00393A363168 +:041BA0000000000041 +:041BA100656E6547C1 +:041BA20020636972E1 +:041BA30000333A349D +:041BA400783231352D +:041BA5002030343286 +:041BA6006974706F7F +:041BA70000002E6D9F +:041BA8007834383322 +:041BA9002030343282 +:041BAA006974706F7B +:041BAB0000002E6D9B +:041BAC007830323328 +:041BAD00203034327E +:041BAE006974706F77 +:041BAF0000002E6D97 +:041BB000783635321C +:041BB100203034327A +:041BB2006974706F73 +:041BB30000002E6D93 +:041BB4007373615096 +:041BB5007572687469 +:041BB600000000002B +:041BB700656E694CA2 +:041BB8002820783237 +:041BB90029626F62CC +:041BBA000000000027 +:041BBB00656E694C9E +:041BBC00000078327B +:041BBD00656E694C9C +:041BBE002820783330 +:041BBF006563616C8D +:041BC0000000296494 +:041BC100656E694C98 +:041BC200282078342B +:041BC30029626F62C2 +:041BC400000000001D +:041BC500656E694C94 +:041BC600322078321F +:041BC700337830340B +:041BC80000003036B3 +:041BC900656E694C90 +:041BCA00322078331A +:041BCB003378303407 +:041BCC0000003036AF +:041BCD00656E694C8C +:041BCE004720783301 +:041BCF0072656E6568 +:041BD0000000636945 +:041BD100656E694C88 +:041BD2000000783364 +:041BD300656E694C86 +:041BD4000000783461 :041BD500656E694C84 -:041BD6006D207833D3 -:041BD7000065646FD2 -:041BD800656E694C81 -:041BD9006D207834CF -:041BDA000065646FCF -:041BDB00656E694C7E -:041BDC006D207835CB -:041BDD000065646FCC -:041BDE00656E694C7B -:041BDF0066207835CF -:041BE000616D726F52 -:041BE100000000748C -:041BE20078363532EA -:041BE3002030343248 -:041BE4006570736154 -:041BE5000000746325 -:041BE6006D205854C2 -:041BE7000065646FC2 -:041BE800494D4448D7 -:041BE90043544920F8 -:041BEA0000000000F7 -:041BEB006C616E417A -:041BEC007320676F8C -:041BED0020636E798A -:041BEE000046504C11 -:041BEF006C616E4176 -:041BF0005320676FA8 -:041BF1004C204354ED -:041BF2000000465059 -:041BF3006C616E4172 -:041BF4007320676F84 -:041BF50020636E7982 -:041BF60000687456B9 -:041BF7006E79734848 -:041BF8006F74206383 -:041BF9006172656C44 -:041BFA000065636EB1 -:041BFB006E79735636 -:041BFC006874206386 -:041BFD006873657232 -:041BFE0000646C6FA4 -:041BFF004C502D48D1 -:041C00007250204CB2 -:041C01006F432D659B -:041C02000074736196 -:041C03004C502D48CC -:041C04006F50204CB1 -:041C0500432D747384 -:041C06007473616F23 -:041C070000000000D9 -:041C080070303834CC -:041C0900206E6920C0 -:041C0A00706D617325 -:041C0B000072656C92 -:041C0C0070303034D0 -:041C0D00206E6920BC -:041C0E00706D617321 -:041C0F000072656C8E -:041C10006F6C6C4148 -:041C1100565420778E -:041C120050482050C6 -:041C130078324C4C8B -:041C140000000000CC -:041C15006F6C6C4143 -:041C1600707520774E -:041C1700706D617318 -:041C18007832656C4D -:041C190000000000C7 -:041C1A007664413C6F -:041C1B006974202E9A -:041C1C00676E696D19 -:041C1D003E20202025 -:041C1E0000000000C2 -:041C1F006564695639 -:041C2000504C206F95 -:041C21000000004679 -:041C22005062505963 -:041C23006E69207254 -:041C24006C6F43207E -:041C25000061705397 -:041C260072502F5277 -:041C270066666F205E -:041C2800007465736C -:041C290020592F47C8 -:041C2A007366666F08 -:041C2B0000007465DC -:041C2C0062502F4291 -:041C2D0066666F2058 -:041C2E000074657366 -:041C2F0072502F526E -:041C3000696167205F -:041C31000000006E41 -:041C320020592F47BF -:041C33006E6961670E +:041BD600000078355E +:041BD7004D382E3423 +:041BD80028207A48FF +:041BD90056544448D2 +:041BDA002943502F1C +:041BDB000000000006 +:041BDC004D352E3025 +:041BDD0028207A48FA +:041BDE0056544453C2 +:041BDF0000000029D9 +:041BE0004D372E311E +:041BE10028207A48F6 +:041BE20056544445CC +:041BE30000000029D5 +:041BE4004D352E321B +:041BE50028207A48F2 +:041BE6002978616D8C +:041BE70000000000FA +:041BE800484D303103 +:041BE9006D28207AC9 +:041BEA000029646505 +:041BEB00484D3333FB +:041BEC006D28207AC6 +:041BED0000296E69F4 +:041BEE0020414756F5 +:041BEF0078303436E0 +:041BF000403030341D +:041BF1000000303789 +:041BF20020414756F1 +:041BF30078303237DD +:041BF4004030303419 +:041BF5000000303785 +:041BF60020565444DD +:041BF70070303834DE +:041BF80000000000E9 +:041BF90041534556B9 +:041BFA00303436202D +:041BFB0030383478D2 +:041BFC00003036403F +:041BFD0020505350D1 +:041BFE0078303834CF +:041BFF000032373247 +:041C00002E63655298 +:041C01003130362028 +:041C020000000000DE +:041C03002E63655295 +:041C0400393037201C +:041C050000000000DB +:041C0600484D3539D7 +:041C07004828207ACF +:041C080020565444CA +:041C0900002949491C +:041C0A00484D3533D9 +:041C0B004828207ACB +:041C0C0020565444C6 +:041C0D000000294961 +:041C0E00484D3631D6 +:041C0F004528207ACA +:041C100029565444B9 +:041C110000000000CF +:041C12007A484D3986 +:041C130044532820EE +:041C140000295654F9 +:041C1500616F4C3C73 +:041C16007270206464 +:041C17006C69666F1F +:041C1800003E206505 +:041C19007661533C61 +:041C1A00727020655F +:041C1B006C69666F1B +:041C1C00003E206501 +:041C1D007365523C5D +:041C1E007320746556 +:041C1F00697474650B +:041C20003E73676E3A +:041C210000000000BF +:041C22006B6E694C30 +:041C23006F7270204C +:041C2400693E2D6682 +:041C25007475706EF4 +:041C260000000000BA +:041C27006B6E694C2B +:041C2800706E692051 +:041C29003E2D747563 +:041C2A00666F7270FF +:041C2B0000000000B5 +:041C2C0074696E4920 +:041C2D00206C61695D +:041C2E0075706E69F6 +:041C2F00000000743D +:041C30006F74754117 +:041C3100657465640D +:041C3200692074634E +:041C33007475706EE6 :041C340000000000AC -:041C350062502F4288 -:041C36006961672059 -:041C37000000006E3B -:041C38002D65725054 -:041C390020434441BF -:041C3A006E69614727 -:041C3B0000000000A5 -:041C3C006D616C4327 -:041C3D004C412F7077 -:041C3E00666F20436A -:041C3F0074657366EF -:041C400000000000A0 -:041C410020434C41AF -:041C42006966205659 -:041C43007265746CE6 -:041C4400000000009C -:041C450020434C41AB -:041C46006966204863 -:041C47007265746CE2 -:041C48000000000098 -:041C4900656469560F -:041C4A006E69206F30 -:041C4B006F72702024 -:041C4C003E202063B3 -:041C4D000000000093 -:041C4E00706D615301 -:041C4F00676E696CE7 -:041C500074706F201D -:041C51003E20202EE3 -:041C5200000000008E -:041C5300636E7953F0 -:041C540074706F2019 -:041C55002020202EFD -:041C56003E202020EC -:041C57000000000089 -:041C58007074754FE0 -:041C59006F2074750F -:041C5A00202E747054 -:041C5B003E202020E7 -:041C5C000000000084 -:041C5D006E616353FE -:041C5E00656E696CDA -:041C5F0074706F200E -:041C60003E20202ED4 -:041C6100000000007F -:041C620074736F50D8 -:041C63006F72702DFF -:041C640020202E63AB -:041C65003E202020DD -:041C6600000000007A -:041C6700706D6F43EA -:041C680062697461D8 -:041C690074696C69C5 -:041C6A003E2020797F -:041C6B000000000075 -:041C6C0069647541F1 -:041C6D00706F206F05 -:041C6E006E6F6974B8 -:041C6F003E20207380 -:041C70000000000070 -:041C710074746553CF -:041C720073676E69BD -:041C730074706F20FA -:041C74003E202020CE -:041C7500000000006B -:041C760072746C55C3 -:041C77006F6C20610D -:041C780000000077F1 -:041C790000776F4C35 -:041C7A006964654DE7 -:041C7B0000006D7583 -:041C7C0068676948E4 -:041C7D000000000063 -:041C7E0074736554C2 -:041C7F0074617020FC -:041C80006E726574A7 -:041C8100000000005F -:041C82005F31564137 -:041C8300534247522F -:041C8400000000005C -:041C85005F31564134 -:041C8600427347520C -:041C87000000000059 -:041C88005F31564131 -:041C890050625059FC -:041C8A0000000072E4 -:041C8B005F3256412D -:041C8C0050625059F9 -:041C8D0000000072E1 -:041C8E005F3256412A -:041C8F004273475203 -:041C90000000000050 -:041C91005F33564126 -:041C9200484247522B -:041C930000000056F7 -:041C94005F33564123 -:041C9500534247521D -:041C9600000000004A -:041C97005F33564120 -:041C980042734752FA +:041C35006F74754112 +:041C360031564120C2 +:041C3700472F5920BA +:041C38000000007335 +:041C39006F7475410E +:041C3A0032564120BD +:041C3B00472F5920B6 +:041C3C000000007331 +:041C3D006F7475410A +:041C3E0033564120B8 +:041C3F00472F5920B2 +:041C4000000000732D +:041C41002044434CAC +:041C420074204C427C +:041C43006F656D69F3 +:041C440000007475B3 +:041C45000044534FB5 +:041C46002044534F94 +:041C470074617473DD +:041C4800642073752C +:041C49002E7073691D +:041C4A000000000096 +:041C4B00706D493C33 +:041C4C002074726F1F +:041C4D0074746573D3 +:041C4E003E20202EE6 +:041C4F000000000091 +:041C50007078453C27 +:041C51002074726F1A +:041C520074746573CE +:041C53003E20202EE1 +:041C5400000000008C +:041C55002E77463C64 +:041C56006470752021 +:041C5700206574612F +:041C58003E202020EA +:041C59000000000087 +:041C5A006E776F44EE +:041C5B006D61732D17 +:041C5C006E696C70D1 +:041C5D00000000671C +:041C5E0070617753E7 +:041C5F0066656C202A +:041C600069722F7402 +:041C6100007468673C +:041C62002D6572502A +:041C63002043444195 +:041C64006E696167DD +:041C6500000000007B +:041C66006C6C7546E7 +:041C6700205854208D +:041C680075746573B7 +:041C69000000007007 +:041C6A00203356418C +:041C6B0065746E69C5 +:041C6C0063616C72D2 +:041C6D0078696665C7 +:041C6E000000000072 +:041C6F002033564187 +:041C70002065737503 +:041C71002E746C6100 +:041C72004247522073 +:041C7300000000006D +:041C740061666544FC +:041C750020746C75F6 +:041C7600494D444848 +:041C77004349562067 +:041C78000000000068 +:041C7900616E6150E7 +:041C7A00696E6F73AD +:041C7B006168206319 +:041C7C0000006B6396 +:041C7D0069726F48D1 +:041C7E00746E6F7A97 +:041C7F006D206C6107 +:041C8000006B736121 +:041C810074726556BE +:041C82006C616369C5 +:041C830073616D20FC +:041C84000000006BF1 +:041C85006B73614DCF +:041C86006C6F6320FC +:041C87000000726F78 +:041C88006B73614DCC +:041C890069726220FA +:041C8A006E746867A5 +:041C8B00007373650A +:041C8C0065766552C2 +:041C8D0020657372E9 +:041C8E000046504C70 +:041C8F005949443C2F +:041C900074616C20EF +:041C91006574202E28 +:041C9200003E747329 +:041C93006E616353C8 +:041C9400656E696CA4 +:041C950000000073D8 +:041C9600202E6C533D +:041C9700657274738B +:041C98006874676E97 :041C99000000000047 -:041C9A005F3356411D -:041C9B0050625059EA -:041C9C0000000072D2 -:041C9D007473614CAF -:041C9E0065737520D5 -:041C9F0000000064DD -:041CA0002D706F54E0 -:041CA1007466656C94 -:041CA200000000003E -:041CA300746E6543B3 -:041CA4000000726565 -:041CA50074746F42A2 -:041CA600722D6D6FBF -:041CA700746867698D -:041CA8000000000038 -:041CA9000000003106 -:041CAA000000003204 -:041CAB000000003302 -:041CAC000000003400 -:041CAD0000000036FD -:041CAE0000000037FB -:041CAF0000000038F9 -:041CB00000000039F7 -:041CB100554E454DFA -:041CB200000000002E -:041CB30000004B4F93 -:041CB4004B4341421B +:041C9A00202E6C5339 +:041C9B007262796890 +:041C9C0073206469E4 +:041C9D00002E72742F +:041C9E00202E6C5335 +:041C9F006874656D93 +:041CA0000000646F6D +:041CA100202E6C5332 +:041CA20065746C6198 +:041CA30074616E7288 +:041CA40000676E69FE +:041CA500202E6C532E +:041CA60067696C619D +:041CA7006E656D6E8B +:041CA80000000074C4 +:041CA900202E6C532A +:041CAA0020746C61D5 +:041CAB0065746E6985 +:041CAC006C6176727F +:041CAD000000000033 +:041CAE00202E6C5325 +:041CAF00657079746F +:041CB0000000000030 +:041CB1004320203C70 +:041CB2006F74737563 +:041CB3006C53206DE1 +:041CB4003E20202E80 :041CB500000000002B -:041CB6000000505585 -:041CB7004E574F44F1 -:041CB8000000000028 -:041CB9005446454CFC -:041CBA000000000026 -:041CBB0048474952FB -:041CBC0000000054D0 -:041CBD004F464E49F7 -:041CBE000000000022 -:041CBF005F44434CEF -:041CC0004B4341420F -:041CC1004847494CFB -:041CC20000000054CA -:041CC3004E414353F8 -:041CC400454E494CF4 -:041CC500444F4D5FDC -:041CC60000000045D5 -:041CC7004E414353F4 -:041CC800454E494CF0 -:041CC9005059545FBB -:041CCA0000000045D1 -:041CCB004E414353F0 -:041CCC00454E494CEC -:041CCD00544E495FC9 -:041CCE000000002BE7 -:041CCF004E414353EC -:041CD000454E494CE8 -:041CD100544E495FC5 -:041CD2000000002DE1 -:041CD300454E494CE5 -:041CD400544C554DCA -:041CD500444F4D5FCC -:041CD60000000045C5 -:041CD70053414850DD -:041CD80000002B4598 -:041CD90053414850DB -:041CDA0000002D4594 -:041CDB00464F5250CE -:041CDC005F454C49CB -:041CDD004B544F48CD -:041CDE000000594564 -:041CDF0003030200F9 -:041CE00002000102FB -:041CE10000000003FC -:041CE200000171D8B4 -:041CE300000171E4A7 -:041CE400000171E8A2 -:041CE500000171F099 -:041CE600000171F890 -:041CE700000172087E -:041CE8000001721471 -:041CE9000001722064 -:041CEA000001722C57 -:041CEB00000172384A -:041CEC00000172443D -:041CED000001725030 -:041CEE000001725C23 -:041CEF000001726816 -:041CF0000001727409 -:041CF10000016C5C26 -:041CF20000002000CE -:041CF30020002CE5BC -:041CF400E926F4FDEC -:041CF50038BC2000D7 -:041CF60000000000EA -:041CF70000016C6814 -:041CF80000002000C8 -:041CF9002000323E57 -:041CFA00F113FA04E4 -:041CFB003B61200029 -:041CFC0000000000E4 -:041CFD0000018C2531 -:041CFE0000000002E0 -:041CFF0000010A34A2 -:041D000000017280EC -:041D01000001728CDF -:041D020000017294D6 -:041D03000D806000EF -:041D0400004020106B -:041D050008040220AC -:041D06000000402277 -:041D070000000000D8 -:041D08000DC06000AA -:041D090000783C1111 -:041D0A00070180E06D -:041D0B000000180EAE +:041CB6007030343224 +:041CB7003838322F58 +:041CB80072702070B6 +:041CB9000000636F55 +:041CBA007034383317 +:041CBB003030342F62 +:041CBC0072702070B2 +:041CBD000000636F51 +:041CBE00693038341D +:041CBF003637352F50 +:041CC00072702069B5 +:041CC1000000636F4D +:041CC2007030383412 +:041CC3003637352F4C +:041CC40072702070AA +:041CC5000000636F49 +:041CC6006930363912 +:041CC7003830312F51 +:041CC80070206930EF +:041CC90000636F72D3 +:041CCA00656E694C8E +:041CCB006D207832DE +:041CCC000065646FDC +:041CCD00656E694C8B +:041CCE006D207833DA +:041CCF000065646FD9 +:041CD000656E694C88 +:041CD1006D207834D6 +:041CD2000065646FD6 +:041CD300656E694C85 +:041CD4006D207835D2 +:041CD5000065646FD3 +:041CD600656E694C82 +:041CD70066207835D6 +:041CD800616D726F59 +:041CD9000000007493 +:041CDA0078363532F1 +:041CDB00203034324F +:041CDC00657073615B +:041CDD00000074632C +:041CDE006D205854C9 +:041CDF000065646FC9 +:041CE000494D4448DE +:041CE10043544920FF +:041CE20000000000FE +:041CE3006C616E4181 +:041CE4007320676F93 +:041CE50020636E7991 +:041CE6000046504C18 +:041CE7006C616E417D +:041CE8005320676FAF +:041CE9004C204354F4 +:041CEA000000465060 +:041CEB006C616E4179 +:041CEC007320676F8B +:041CED0020636E7989 +:041CEE0000687456C0 +:041CEF006E7973484F +:041CF0006F7420638A +:041CF1006172656C4B +:041CF2000065636EB8 +:041CF3006E7973563D +:041CF400687420638D +:041CF5006873657239 +:041CF60000646C6FAB +:041CF7004C502D48D8 +:041CF8007250204CBA +:041CF9006F432D65A3 +:041CFA00007473619E +:041CFB004C502D48D4 +:041CFC006F50204CB9 +:041CFD00432D74738C +:041CFE007473616F2B +:041CFF0000000000E1 +:041D000070303834D3 +:041D0100206E6920C7 +:041D0200706D61732C +:041D03000072656C99 +:041D040070303034D7 +:041D0500206E6920C3 +:041D0600706D617328 +:041D07000072656C95 +:041D08006F6C6C414F +:041D09005654207795 +:041D0A0050482050CD +:041D0B0078324C4C92 :041D0C0000000000D3 -:041D0D000D806000E5 -:041D0E000030180485 -:041D0F00020140602D -:041D100000001406B5 +:041D0D006F6C6C414A +:041D0E007075207755 +:041D0F00706D61731F +:041D10007832656C54 :041D110000000000CE -:041D120000018C2F11 -:041D13000000000EBE -:041D140000011D2885 -:041D1500000172A4B3 -:041D1600000172A8AE -:041D1700000172ACA9 -:041D1800000172B0A4 -:041D1900000165441C -:041D1A00000172B49E -:041D1B00000172B899 -:041D1C00000172BC94 -:041D1D00000172C08F -:041D1E0000016AC096 -:041D1F00000172C489 -:041D2000000172CC80 -:041D2100000172D07B -:041D2200000172D872 -:041D2300000172DC6D -:041D2400000172E464 -:041D2500000172EC5B -:041D2600000172F452 -:041D2700000172FC49 -:041D28000001730C37 -:041D29000001731C26 -:041D2A000001732C15 -:041D2B000001733C04 -:041D2C000001734CF3 -:041D2D000001735CE2 -:041D2E0000017364D9 -:041D2F000001736CD0 -:041D30003EA93E2961 -:041D31003EE93E69E0 -:041D32003E993E197F -:041D33003ED93E59FE -:041D34003EC93E392D -:041D35003E1D3E4DC4 -:041D36003E2D3EED13 -:041D37003EAD3ECDB2 -:041D38003E653E6D59 -:041D39001C483E0103 -:041D3A001C501C1805 -:041D3B001CC81CD0D4 -:041D3C005ED85E58B7 -:041D3D0000003EB9AB -:041D3E0000000000A1 -:041D3F00000000019F +:041D12007664413C76 +:041D13006974202EA1 +:041D1400676E696D20 +:041D15003E2020202C +:041D160000000000C9 +:041D17006564695640 +:041D1800504C206F9C +:041D19000000004680 +:041D1A00506250596A +:041D1B006E6920725B +:041D1C006C6F432085 +:041D1D00006170539E +:041D1E0072502F527E +:041D1F0066666F2065 +:041D20000074657373 +:041D210020592F47CF +:041D22007366666F0F +:041D230000007465E3 +:041D240062502F4298 +:041D250066666F205F +:041D2600007465736D +:041D270072502F5275 +:041D28006961672066 +:041D29000000006E48 +:041D2A0020592F47C6 +:041D2B006E69616715 +:041D2C0000000000B3 +:041D2D0062502F428F +:041D2E006961672060 +:041D2F000000006E42 +:041D30002D6572505B +:041D310020434441C6 +:041D32006E6961472E +:041D330000000000AC +:041D34006D616C432E +:041D35004C412F707E +:041D3600666F204371 +:041D370074657366F6 +:041D380000000000A7 +:041D390020434C41B6 +:041D3A006966205660 +:041D3B007265746CED +:041D3C0000000000A3 +:041D3D0020434C41B2 +:041D3E00696620486A +:041D3F007265746CE9 :041D4000000000009F -:041D4100000000009E -:041D4200000000009D -:041D4300000001009B -:041D44000101010098 -:041D45000000010099 -:041D46000000000099 -:041D47000100000097 -:041D4800060B000086 -:041D49000000004452 -:041D4A000000000194 -:041D4B000100000093 -:041D4C0080000C0007 -:041D4D008080090386 -:041D4E001A1A1A80C3 -:041D4F0000000A087E -:041D500030303631C8 -:041D51003034327880 -:041D5200C8000000C5 -:041D530007FE00F097 -:041D54000FCA20C0D2 -:041D550022100396BF -:041D56000040000247 -:041D570030383231BD -:041D58003034327879 -:041D5900A0000000E6 -:041D5A00061800F077 -:041D5B000FAA20C0EB -:041D5C002210034806 -:041D5D00000204027A -:041D5E00783036396A -:041D5F0000303432EA -:041D60007800000007 -:041D6100049200F0F8 -:041D62000F8020C00E -:041D63002210033611 -:041D64000000080271 -:041D6500783231356A -:041D660000303432E3 -:041D67004000000038 -:041D680002AA00F0DB -:041D69000E4D20C03B -:041D6A00221003320E -:041D6B0000841010D0 -:041D6C00783438335C -:041D6D0000303432DC -:041D6E003000000041 -:041D6F00020000F07E -:041D70000E3B20C046 -:041D71002210032514 -:041D72000108202024 -:041D7300783032335F -:041D740000303432D5 -:041D75002800000042 -:041D760001AA00F0CE -:041D77000E3120C049 -:041D78002210031F13 -:041D790002104040D4 -:041D7A007836353250 -:041D7B0000303432CE -:041D7C002000000043 -:041D7D00015500F01C -:041D7E000E2720C04C -:041D7F002210031912 -:041D8000042080803B -:041D81007030343258 -:041D8200000000005D -:041D83005A080000FA -:041D8400035A00F00E -:041D85000F3920C032 -:041D86002210033EE6 -:041D87000000000E4A -:041D88003030363190 -:041D89003034327848 -:041D8A00C800004C41 -:041D8B0007FE00F05F -:041D8C0029CA270039 -:041D8D002210039687 -:041D8E00004000020F -:041D8F003038323185 -:041D90003838327835 -:041D9100A0000000AE -:041D9200061801200E -:041D93000FAA27006C -:041D940022100348CE -:041D95000002040242 -:041D96007830363932 -:041D970000383832A6 -:041D980078000000CF -:041D9900049201208F -:041D9A000F8027008F -:041D9B0022100336D9 -:041D9C000000080239 -:041D9D007832313532 -:041D9E004C3034325F -:041D9F0040000042BE -:041DA00002AA00F0A3 -:041DA100294D2700A1 -:041DA20022100332D6 -:041DA3000084101098 -:041DA4007834383324 -:041DA5004C30343258 -:041DA60030000042C7 -:041DA700020000F046 -:041DA800293B2700AC -:041DA90022100325DC -:041DAA0001082020EC -:041DAB007830323327 -:041DAC004C30343251 -:041DAD0028000042C8 -:041DAE0001AA00F096 -:041DAF0029312700AF -:041DB0002210031FDB -:041DB100021040409C -:041DB2007836353218 -:041DB3004C3034324A -:041DB40020000042C9 -:041DB500015500F0E4 -:041DB60029272700B2 -:041DB70022100319DA -:041DB8000420808003 -:041DB9007038383214 -:041DBA000000000025 -:041DBB005A170000B3 -:041DBC00036001209F -:041DBD0013452700A3 -:041DBE002210033FAD -:041DBF000000000E12 -:041DC000783038340B -:041DC1000030363385 -:041DC2003C000000E1 -:041DC3000258016859 -:041DC4000A3F2EE0C4 -:041DC500441003269D -:041DC6000000000E0B -:041DC700783034320A -:041DC800003036337E -:041DC90020000000F6 -:041DCA00012C01687F -:041DCB000A182EE0E4 -:041DCC0044100312AA -:041DCD000001010010 -:041DCE007034383302 -:041DCF000000000010 -:041DD0003E000000D1 -:041DD100028001800B -:041DD2001D3233008B -:041DD3004410033E77 -:041DD4000000000EFD -:041DD5003030363143 -:041DD60030303478FD -:041DD700C800000040 -:041DD80007D001909F -:041DD9002278382014 -:041DDA00501002F0B3 -:041DDB000000040000 -:041DDC0078303237F2 -:041DDD00003030346E -:041DDE005A000000A7 -:041DDF0003840190E8 -:041DE0002240382045 -:041DE100501002603C -:041DE2000000000CF1 -:041DE30078303436EA -:041DE4000030303467 -:041DE50050000000AA -:041DE6000320019045 -:041DE700223038204E -:041DE8005010026035 -:041DE9000000000CEA -:041DEA0078303436E3 -:041DEB000034383355 -:041DEC0050000000A3 -:041DED00032001804E -:041DEE003F303D80C5 -:041DEF00501002602E -:041DF0000000000EE1 -:041DF10069303834E9 -:041DF20000000000ED -:041DF3005A0600008C -:041DF400035A00F09E -:041DF5000F3941A0C1 -:041DF6006210033E36 -:041DF7000002040FD3 -:041DF80070303834DB -:041DF90000000000E6 -:041DFA005A02000089 -:041DFB00035A01E0A6 -:041DFC001E3C41A0A8 -:041DFD008410063E0A -:041DFE000000000CD5 -:041DFF0078303834CC -:041E00000032373243 -:041E01003C0300009E -:041E0200035A01106E -:041E030086B141A0C3 -:041E04008410063E02 -:041E050000000204D3 -:041E060078303436C6 -:041E0700003038343B -:041E08005001000085 -:041E0900032001E0D1 -:041E0A00213041A0A2 -:041E0B0090100260D1 -:041E0C000000000CC6 -:041E0D0078303436BF -:041E0E000032313538 -:041E0F00500000007F -:041E100003200200A9 -:041E11001C3047003A -:041E120090100260CA -:041E13000000000CBF -:041E140069363735BF -:041E150000000000C9 -:041E16005A15000059 -:041E17000360012043 -:041E180013454E2000 -:041E19006210033F11 -:041E1A000002040FAF -:041E1B0070363735B1 -:041E1C0000000000C2 -:041E1D005A11000056 -:041E1E00036002401B -:041E1F0027444E20E6 -:041E200084100540E5 -:041E21000000000CB1 -:041E220078303038AC -:041E23000030303625 -:041E24006400000056 -:041E2500042002583B -:041E260017584E807B -:041E27001010048013 -:041E280000000004B2 -:041E290070303237AC -:041E2A000030355FF0 -:041E2B00A013000000 -:041E2C0007BC02D01D -:041E2D0014DC5DC0A4 -:041E2E00181005285B -:041E2F0000000004AB -:041E300070303237A5 -:041E31000030365FE8 -:041E3200A004000008 -:041E3300067202D061 -:041E340014DC5DC09D -:041E35001810052854 -:041E360000000004A4 -:041E370034323031E0 -:041E38003836377889 -:041E39008000000025 -:041E3A00054003005C -:041E3B001DA064C0C2 -:041E3C0010100688F4 -:041E3D00000000049D -:041E3E0030383231D5 -:041E3F003230317894 -:041E4000A0000034CA -:041E410006980400FB -:041E420026F88540B9 -:041E43001010037008 -:041E44000000000496 -:041E45007830343687 -:041E46006930363990 -:041E47005000000047 -:041E4800032001E092 -:041E49002130834081 -:041E4A00A41002607E -:041E4B000000000D86 -:041E4C0030383031C9 -:041E4D0030355F6964 -:041E4E00F01400008C -:041E4F000A50021C17 -:041E50000F948CA0BF -:041E5100B810052C94 -:041E52000000000D7F -:041E530030383031C2 -:041E540030365F695C -:041E5500F005000094 -:041E56000898021CCA -:041E570010948CA0B7 -:041E5800B810052C8D -:041E59000000000D78 -:041E5A0030383031BB -:041E5B0030355F704F -:041E5C00F01F000073 -:041E5D000A500438EB -:041E5E0024948CA09C -:041E5F001810052C26 -:041E6000000000047A -:041E610030383031B4 -:041E620030365F7047 -:041E6300F01000007B -:041E6400089804389E -:041E650024948CA095 -:041E66001810052C1F -:041E67000000000473 -:041E680030303631AF -:041E6900303231786A -:041E6A00C80000307C -:041E6B00087004B047 -:041E6C002EFF9C4069 -:041E6D00101003F15D -:041E6E00000000046C -:041E6F0000018C588A -:041E70000000002846 -:041E710000010A4E14 -:041E72007665642FFE -:041E73006370652F04 -:041E74006F635F71C8 -:041E75006F72746EA6 -:041E760072656C6CB9 -:041E77005F305F3247 -:041E78005F6C7661C4 -:041E7900006D656D26 -:041E7A002B302D23B9 -:041E7B000000002043 -:041E7C00004C6C6842 -:041E7D0045676665EA -:041E7E0000004746D3 -:041E7F003332313099 -:041E80003736353488 -:041E81004241393869 -:041E8200464544434A -:041E8300000000005B -:041E84003332313094 -:041E85003736353483 -:041E86006261393824 -:041E870066656463C5 -:041E88000000000056 -:041E8900000158C636 -:041E8A00000158DC1F -:041E8B00000158A05A -:041E8C00000158A059 -:041E8D00000158A058 -:041E8E00000158A057 -:041E8F00000158DC1A -:041E9000000158A055 -:041E9100000158A054 -:041E9200000158A053 -:041E9300000158A052 -:041E940000015A40AF -:041E95000001591ED1 -:041E960000015A12DB -:041E9700000158A04E -:041E9800000158A04D -:041E990000015A7476 -:041E9A00000158A04B -:041E9B000001591ECB -:041E9C00000158A049 -:041E9D00000158A048 -:041E9E0000015A1ACB -:041E9F00C896554B41 -:041EA0000000000935 -:041EA10000017C7C44 -:041EA2000000000339 -:041EA30000017D308D -:041EA4000000000535 -:041EA50000017D6C4F -:041EA6000000000B2D -:041EA70000017DD0E9 -:041EA800000000092D -:041EA90000017EAC0A -:041EAA000000000D27 -:041EAB0000017F6053 -:041EAC00000000062C -:041EAD00000180644C -:041EAE00000000052B -:041EAF00000180DCD2 -:041EB0000000000925 -:041EB100000181406B -:041EB2000000000D1F -:041EB300000181F4B5 -:041EB4000000000723 -:041EB500000182F8AE -:041EB6000000000C1C -:041EB700000183841F -:041EB8000000000026 -:041EB9000000000025 -:041EBA00000179C8E2 +:041D41006564695616 +:041D42006E69206F37 +:041D43006F7270202B +:041D44003E202063BA +:041D4500000000009A +:041D4600706D615308 +:041D4700676E696CEE +:041D480074706F2024 +:041D49003E20202EEA +:041D4A000000000095 +:041D4B00636E7953F7 +:041D4C0074706F2020 +:041D4D002020202E04 +:041D4E003E202020F3 +:041D4F000000000090 +:041D50007074754FE7 +:041D51006F20747516 +:041D5200202E74705B +:041D53003E202020EE +:041D5400000000008B +:041D55006E61635305 +:041D5600656E696CE1 +:041D570074706F2015 +:041D58003E20202EDB +:041D59000000000086 +:041D5A0074736F50DF +:041D5B006F72702D06 +:041D5C0020202E63B2 +:041D5D003E202020E4 +:041D5E000000000081 +:041D5F00706D6F43F1 +:041D600062697461DF +:041D610074696C69CC +:041D62003E20207986 +:041D6300000000007C +:041D640069647541F8 +:041D6500706F206F0C +:041D66006E6F6974BF +:041D67003E20207387 +:041D68000000000077 +:041D690074746553D6 +:041D6A0073676E69C4 +:041D6B0074706F2001 +:041D6C003E202020D5 +:041D6D000000000072 +:041D6E0074736554D1 +:041D6F00746170200B +:041D70006E726574B6 +:041D7100000000006E +:041D72005F31564146 +:041D7300534247523E +:041D7400000000006B +:041D75005F31564143 +:041D7600427347521B +:041D77000000000068 +:041D78005F31564140 +:041D7900506250590B +:041D7A0000000072F3 +:041D7B005F3256413C +:041D7C005062505908 +:041D7D0000000072F0 +:041D7E005F32564139 +:041D7F004273475212 +:041D8000000000005F +:041D81005F33564135 +:041D8200484247523A +:041D83000000005606 +:041D84005F33564132 +:041D8500534247522C +:041D86000000000059 +:041D87005F3356412F +:041D88004273475209 +:041D89000000000056 +:041D8A005F3356412C +:041D8B0050625059F9 +:041D8C0000000072E1 +:041D8D007473614CBE +:041D8E0065737520E4 +:041D8F0000000064EC +:041D90002D706F54EF +:041D91007466656CA3 +:041D9200000000004D +:041D9300746E6543C2 +:041D94000000726574 +:041D950074746F42B1 +:041D9600722D6D6FCE +:041D9700746867699C +:041D98000000000047 +:041D99000000003115 +:041D9A000000003213 +:041D9B000000003311 +:041D9C00000000340F +:041D9D00000000360C +:041D9E00000000370A +:041D9F000000003808 +:041DA0000000003906 +:041DA100554E454D09 +:041DA200000000003D +:041DA30000004B4FA2 +:041DA4004B4341422A +:041DA500000000003A +:041DA6000000505594 +:041DA7004E574F4400 +:041DA8000000000037 +:041DA9005446454C0B +:041DAA000000000035 +:041DAB00484749520A +:041DAC0000000054DF +:041DAD004F464E4906 +:041DAE000000000031 +:041DAF005F44434CFE +:041DB0004B4341421E +:041DB1004847494C0A +:041DB20000000054D9 +:041DB3004E41435307 +:041DB400454E494C03 +:041DB500444F4D5FEB +:041DB60000000045E4 +:041DB7004E41435303 +:041DB800454E494CFF +:041DB9005059545FCA +:041DBA0000000045E0 +:041DBB004E414353FF +:041DBC00454E494CFB +:041DBD00544E495FD8 +:041DBE000000002BF6 +:041DBF004E414353FB +:041DC000454E494CF7 +:041DC100544E495FD4 +:041DC2000000002DF0 +:041DC300454E494CF4 +:041DC400544C554DD9 +:041DC500444F4D5FDB +:041DC60000000045D4 +:041DC70053414850EC +:041DC80000002B45A7 +:041DC90053414850EA +:041DCA0000002D45A3 +:041DCB00464F5250DD +:041DCC005F454C49DA +:041DCD004B544F48DC +:041DCE000000594573 +:041DCF000303020008 +:041DD000020001020A +:041DD100000000030B +:041DD200000175B8DF +:041DD300000175C8CE +:041DD400000175D4C1 +:041DD500000175E0B4 +:041DD600000175ECA7 +:041DD700000175F89A +:041DD800000176048C +:041DD900000176107F +:041DDA000001761C72 +:041DDB000001762865 +:041DDC000001763458 +:041DDD000001700091 +:041DDE0000002000E1 +:041DDF0020002CE5CF +:041DE000E926F4FDFF +:041DE10038BC2000EA +:041DE20000000000FD +:041DE3000001700C7F +:041DE40000002000DB +:041DE5002000323E6A +:041DE600F113FA04F7 +:041DE7003B6120003C +:041DE80000000000F7 +:041DE9000001901D48 +:041DEA0000000002F3 +:041DEB00000110EEF5 +:041DEC00000176403C +:041DED000001764C2F +:041DEE000001765426 +:041DEF000D80600003 +:041DF000004020107F +:041DF10008040220C0 +:041DF200000040228B +:041DF30000000000EC +:041DF4000DC06000BE +:041DF50000783C1125 +:041DF600070180E081 +:041DF7000000180EC2 +:041DF80000000000E7 +:041DF9000D806000F9 +:041DFA000030180499 +:041DFB000201406041 +:041DFC0000001406C9 +:041DFD0000000000E2 +:041DFE000001902729 +:041DFF000000000ED2 +:041E0000000122FCBF +:041E01000001766402 +:041E020000017668FD +:041E03000001766CF8 +:041E040000017670F3 +:041E050000016AD49A +:041E060000017674ED +:041E070000017678E8 +:041E08000001767CE3 +:041E090000017680DE +:041E0A0000016E6401 +:041E0B0000017684D8 +:041E0C000001768CCF +:041E0D0000017690CA +:041E0E0000017698C1 +:041E0F000001769CBC +:041E1000000176A4B3 +:041E1100000176ACAA +:041E1200000176B4A1 +:041E1300000176BC98 +:041E1400000176CC87 +:041E1500000176DC76 +:041E1600000176EC65 +:041E1700000176FC54 +:041E18000001770C42 +:041E19000001771C31 +:041E1A000001772428 +:041E1B000001772C1F +:041E1C003EA93E2974 +:041E1D003EE93E69F3 +:041E1E003E993E1992 +:041E1F003ED93E5911 +:041E20003EC93E3940 +:041E21003E1D3E4DD7 +:041E22003E2D3EED26 +:041E23003EAD3ECDC5 +:041E24003E653E6D6C +:041E25001C483E0116 +:041E26001C501C1818 +:041E27001CC81CD0E7 +:041E28005ED85E58CA +:041E290000003EB9BE +:041E2A0000000000B4 +:041E2B0000000001B2 +:041E2C0000000000B2 +:041E2D0000000000B1 +:041E2E0000000000B0 +:041E2F0000000100AE +:041E300001010100AB +:041E310000000100AC +:041E320000000000AC +:041E330001000000AA +:041E3400060B000099 +:041E35000000004465 +:041E360000000001A7 +:041E370001000000A6 +:041E380080000C001A +:041E39008080090399 +:041E3A001A1A1A80D6 +:041E3B0000000A0891 +:041E3C0030303631DB +:041E3D003034327893 +:041E3E00C8000000D8 +:041E3F0007FE00F0AA +:041E40000FCA20C0E5 +:041E410022100396D2 +:041E4200004000025A +:041E430030383231D0 +:041E4400303432788C +:041E4500A0000000F9 +:041E4600061800F08A +:041E47000FAA20C0FE +:041E48002210034819 +:041E4900000204028D +:041E4A00783036397D +:041E4B0000303432FD +:041E4C00780000001A +:041E4D00049200F00B +:041E4E000F8020C021 +:041E4F002210033624 +:041E50000000080284 +:041E5100783231357D +:041E520000303432F6 +:041E5300400000004B +:041E540002AA00F0EE +:041E55000E4D20C04E +:041E56002210033221 +:041E570000841010E3 +:041E5800783438336F +:041E590000303432EF +:041E5A003000000054 +:041E5B00020000F091 +:041E5C000E3B20C059 +:041E5D002210032527 +:041E5E000108202037 +:041E5F007830323372 +:041E600000303432E8 +:041E61002800000055 +:041E620001AA00F0E1 +:041E63000E3120C05C +:041E64002210031F26 +:041E650002104040E7 +:041E66007836353263 +:041E670000303432E1 +:041E68002000000056 +:041E6900015500F02F +:041E6A000E2720C05F +:041E6B002210031925 +:041E6C00042080804E +:041E6D00703034326B +:041E6E000000000070 +:041E6F005A0800000D +:041E7000035A00F021 +:041E71000F3920C045 +:041E72002210033EF9 +:041E73000000000E5D +:041E740030303631A3 +:041E7500303432785B +:041E7600C800004C54 +:041E770007FE00F072 +:041E780029CA27004C +:041E7900221003969A +:041E7A000040000222 +:041E7B003038323198 +:041E7C003838327848 +:041E7D00A0000000C1 +:041E7E000618012021 +:041E7F000FAA27007F +:041E800022100348E1 +:041E81000002040255 +:041E82007830363945 +:041E830000383832B9 +:041E840078000000E2 +:041E850004920120A2 +:041E86000F802700A2 +:041E870022100336EC +:041E8800000008024C +:041E89007832313545 +:041E8A004C30343272 +:041E8B0040000042D1 +:041E8C0002AA00F0B6 +:041E8D00294D2700B4 +:041E8E0022100332E9 +:041E8F0000841010AB +:041E90007834383337 +:041E91004C3034326B +:041E920030000042DA +:041E9300020000F059 +:041E9400293B2700BF +:041E950022100325EF +:041E960001082020FF +:041E9700783032333A +:041E98004C30343264 +:041E990028000042DB +:041E9A0001AA00F0A9 +:041E9B0029312700C2 +:041E9C002210031FEE +:041E9D0002104040AF +:041E9E00783635322B +:041E9F004C3034325D +:041EA00020000042DC +:041EA100015500F0F7 +:041EA20029272700C5 +:041EA30022100319ED +:041EA4000420808016 +:041EA5007038383227 +:041EA6000000000038 +:041EA7005A170000C6 +:041EA80003600120B2 +:041EA90013452700B6 +:041EAA002210033FC0 +:041EAB000000000E25 +:041EAC00783038341E +:041EAD000030363398 +:041EAE003C000000F4 +:041EAF00025801686C +:041EB0000A3F2EE0D7 +:041EB10044100326B0 +:041EB2000000000E1E +:041EB300783034321D +:041EB4000030363391 +:041EB5002000000009 +:041EB600012C016892 +:041EB7000A182EE0F7 +:041EB80044100312BD +:041EB9000001010023 +:041EBA007034383315 :041EBB000000000023 -:041EBC000000000022 -:041EBD0000010326F7 -:041EBE00000103DE3E -:041EBF00000101E637 -:041EC0000001020417 -:041EC1000001025CBE -:041EC200008000009C -:041EC30000200000FB -:041EC400000000001A -:041EC5000000000019 -:041EC6000000000018 -:041EC7000000000017 -:041EC8000000000016 -:041EC9000000000015 -:041ECA000000000014 -:041ECB000000000013 -:041ECC000000000012 -:041ECD000000000011 -:041ECE000000000010 -:041ECF00000000000F -:041ED000000000000E -:041ED100000000000D -:041ED200000000000C -:041ED300000000000B -:041ED400000000000A -:041ED5000000000009 -:041ED6000000000008 -:041ED7000000000007 -:041ED8000000000006 -:041ED9000000000005 -:041EDA000000000004 -:041EDB000000000003 -:041EDC000000000002 -:041EDD000000000001 +:041EBC003E000000E4 +:041EBD00028001801E +:041EBE001D3233009E +:041EBF004410033E8A +:041EC0000000000E10 +:041EC1003030363156 +:041EC2003030347810 +:041EC300C800000053 +:041EC40007D00190B2 +:041EC5002278382027 +:041EC600501002F0C6 +:041EC7000000040013 +:041EC8007830323705 +:041EC9000030303481 +:041ECA005A000000BA +:041ECB0003840190FB +:041ECC002240382058 +:041ECD00501002604F +:041ECE000000000C04 +:041ECF0078303436FD +:041ED000003030347A +:041ED10050000000BD +:041ED2000320019058 +:041ED3002230382061 +:041ED4005010026048 +:041ED5000000000CFD +:041ED60078303436F6 +:041ED7000034383368 +:041ED80050000000B6 +:041ED9000320018061 +:041EDA003F303D80D8 +:041EDB005010026041 +:041EDC000000000EF4 +:041EDD0069303834FC :041EDE000000000000 -:041EDF0000000000FF -:041EE00000000000FE -:041EE10000000000FD -:041EE20000000000FC -:041EE30000000000FB -:041EE40000000000FA -:041EE500000101B047 -:041EE6000080000078 -:041EE70000A0000057 -:041EE80000020100F3 -:041EE90000200000D5 -:041EEA0000000000F4 -:041EEB0000000020D3 -:041EEC0000010000F1 -:041EED0000000100F0 -:041EEE0000000000F0 -:041EEF000001696C19 -:041EF00000016988FC -:041EF10000016998EB -:041EF2000001696C16 -:041EF300000169CCB5 -:041EF400000169D0B0 -:041EF500000171F87F -:041EF600000172086D -:041EF7000001721460 -:041EF8000001722053 -:041EF9000001722C46 -:041EFA000001723839 -:041EFB00000172442C -:041EFC00000172501F -:041EFD000001725C12 -:041EFE000001726805 -:041EFF0000017274F8 -:041F0000020E0409C0 -:041F01003D0E00C8C9 -:041F02003F6E0383A8 -:041F03003ED03DACE3 -:041F04000000038353 -:041F050000016AE08D -:041F060000016AEC80 -:041F070000016AFC6F -:041F080000016B0C5D -:041F090000016B1C4C -:041F0A0000016AD098 -:041F0B0000016AE087 -:041F0C0000016AEC7A -:041F0D0000016AFC69 -:041F0E0000016B0C57 -:041F0F0000016B1C46 -:041F100000016AACB6 -:041F110000016AB8A9 -:041F120000016AC49C -:041F13000001696CF4 -:041F140000016980DF -:041F150000016968F6 -:041F160000016984D9 -:041F1700000169D488 -:041F1800000169DC7F -:041F1900000169E476 -:041F1A00000169EC6D -:041F1B00000169F464 -:041F1C00000169F85F -:041F1D0000016A0055 -:041F1E0000016A084C -:041F1F00000168E075 -:041F200000000002BB -:041F210000018C48E7 -:041F22000AF0012C94 -:041F230000010D7438 -:041F2400000168F060 -:041F250000000002B6 -:041F260000018C4AE0 -:041F270000130000A3 -:041F280000010D7433 -:041F2900000169004A -:041F2A0000000002B1 -:041F2B0000018C4CD9 -:041F2C0000FF000AA8 -:041F2D0000010D742E -:041F2E000001690C39 -:041F2F0000000002AC -:041F300000018C46DA -:041F310000FF0001AC -:041F320000010D7429 -:041F33000001691C24 -:041F340000000002A7 -:041F350000018C44D7 -:041F3600078000C858 -:041F370000010D7424 -:041F38000001692813 -:041F390000000002A2 -:041F3A0000018C54C2 -:041F3B00000700019A -:041F3C0000010D741F -:041F3D000001693402 -:041F3E00000000029D -:041F3F0000018C52BF -:041F400000EC0001B0 -:041F410000010D741A -:041F420000016944ED -:041F43000000000298 -:041F440000018C50BC -:041F450004B000A044 -:041F460000010D7415 -:041F470000016950DC -:041F48000000000293 -:041F490000018C4EB9 -:041F4A00001F000074 -:041F4B0000010D7410 -:041F4C0000016D889B -:041F4D000000000090 -:041F4E000001876F98 -:041F4F00000100018C -:041F5000000185D037 -:041F510000016D9886 -:041F5200000000008B -:041F53000001877092 -:041F54000001000187 -:041F5500000185E022 -:041F560000016DA871 -:041F57000000000185 -:041F5800000187718C -:041F5900001800006C -:041F5A0000010BE493 -:041F5B0000016DB85C -:041F5C000000000081 -:041F5D000001876A8E -:041F5E00000100017D -:041F5F00000185E018 -:041F600000016DC847 -:041F6100000000007C -:041F62000001876B88 -:041F63000001000178 -:041F6400000185E013 -:041F650000016DDC2E -:041F66000000000077 -:041F67000001876C82 -:041F68000002000172 -:041F690000017BC830 -:041F6A0000016DF015 -:041F6B000000000171 -:041F6C000001877277 -:041F6D00001F000051 -:041F6E0000010BB6AD -:041F6F0000016E04FB -:041F7000000000006D -:041F71000001876D77 -:041F72000001000169 -:041F7300000185E004 -:041F740000016818E8 -:041F75000000000167 -:041F7600000187409F -:041F77000010000056 -:041F780000010B6AEF -:041F790000016828D3 -:041F7A000000000162 -:041F7B000001874199 -:041F7C000010000051 -:041F7D0000010B6AEA -:041F7E0000016838BE -:041F7F00000000015D -:041F80000001874293 -:041F8100001000004C -:041F820000010B6AE5 -:041F830000016848A9 -:041F84000000000158 -:041F8500000187438D -:041F86000010000047 -:041F870000010B6AE0 -:041F88000001685894 -:041F89000000000153 -:041F8A000001874487 -:041F8B000010000042 -:041F8C0000010B6ADB -:041F8D00000168687F -:041F8E00000000014E -:041F8F000001874581 -:041F9000001000003D -:041F910000010B6AD6 -:041F92000001687C66 -:041F93000000000149 -:041F9400000187467B -:041F95000010000038 -:041F960000010B6AD1 -:041F9700000168904D -:041F98000000000144 -:041F99000001874775 -:041F9A000010000033 -:041F9B0000010B6ACC -:041F9C00000168A434 -:041F9D00000000013F -:041F9E00000187486F -:041F9F00001000002E -:041FA00000010B6AC7 -:041FA100000168B81B -:041FA200000000013A -:041FA3000001874969 -:041FA4000010000029 -:041FA50000010B6AC2 -:041FA600000168CC02 -:041FA7000000000135 -:041FA8000001874A63 -:041FA9000010000024 -:041FAA0000010B6ABD -:041FAB00000171249C -:041FAC00000000032E -:041FAD0000017AD8DD -:041FAE00000000002F -:041FAF00000000002E -:041FB0000001713883 -:041FB1000000000329 -:041FB20000017AB8F8 +:041EDF005A0600009F +:041EE000035A00F0B1 +:041EE1000F3941A0D4 +:041EE2006210033E49 +:041EE3000002040FE6 +:041EE40070303834EE +:041EE50000000000F9 +:041EE6005A0200009C +:041EE700035A01E0B9 +:041EE8001E3C41A0BB +:041EE9008410063E1D +:041EEA000000000CE8 +:041EEB0078303834DF +:041EEC000032373257 +:041EED003C030000B2 +:041EEE00035A011082 +:041EEF0086B141A0D7 +:041EF0008410063E16 +:041EF10000000204E7 +:041EF20078303436DA +:041EF300003038344F +:041EF4005001000099 +:041EF500032001E0E5 +:041EF600213041A0B6 +:041EF70090100260E5 +:041EF8000000000CDA +:041EF90078303436D3 +:041EFA00003231354C +:041EFB005000000093 +:041EFC0003200200BD +:041EFD001C3047004E +:041EFE0090100260DE +:041EFF000000000CD3 +:041F000069363735D2 +:041F010000000000DC +:041F02005A1500006C +:041F03000360012056 +:041F040013454E2013 +:041F05006210033F24 +:041F06000002040FC2 +:041F070070363735C4 +:041F080000000000D5 +:041F09005A11000069 +:041F0A00036002402E +:041F0B0027444E20F9 +:041F0C0084100540F8 +:041F0D000000000CC4 +:041F0E0078303038BF +:041F0F000030303638 +:041F10006400000069 +:041F1100042002584E +:041F120017584E808E +:041F13001010048026 +:041F140000000004C5 +:041F150070303237BF +:041F16000030355F03 +:041F1700A013000013 +:041F180007BC02D030 +:041F190014DC5DC0B7 +:041F1A00181005286E +:041F1B0000000004BE +:041F1C0070303237B8 +:041F1D000030365FFB +:041F1E00A00400001B +:041F1F00067202D074 +:041F200014DC5DC0B0 +:041F21001810052867 +:041F220000000004B7 +:041F230034323031F3 +:041F2400383637789C +:041F25008000000038 +:041F2600054003006F +:041F27001DA064C0D5 +:041F28001010068807 +:041F290000000004B0 +:041F2A0030383231E8 +:041F2B0032303178A7 +:041F2C00A0000034DD +:041F2D00069804000E +:041F2E0026F88540CC +:041F2F00101003701B +:041F300000000004A9 +:041F3100783034369A +:041F320069303639A3 +:041F3300500000005A +:041F3400032001E0A5 +:041F35002130834094 +:041F3600A410026091 +:041F37000000000D99 +:041F380030383031DC +:041F390030355F6977 +:041F3A00F01400009F +:041F3B000A50021C2A +:041F3C000F948CA0D2 +:041F3D00B810052CA7 +:041F3E000000000D92 +:041F3F0030383031D5 +:041F400030365F696F +:041F4100F0050000A7 +:041F42000898021CDD +:041F430010948CA0CA +:041F4400B810052CA0 +:041F45000000000D8B +:041F460030383031CE +:041F470030355F7062 +:041F4800F01F000086 +:041F49000A500438FE +:041F4A0024948CA0AF +:041F4B001810052C39 +:041F4C00000000048D +:041F4D0030383031C7 +:041F4E0030365F705A +:041F4F00F01000008E +:041F500008980438B1 +:041F510024948CA0A8 +:041F52001810052C32 +:041F53000000000486 +:041F540030303631C2 +:041F5500303231787D +:041F5600C80000308F +:041F5700087004B05A +:041F58002EFF9C407C +:041F5900101003F170 +:041F5A00000000047F +:041F5B00000190549D +:041F5C000000002859 +:041F5D000001110866 +:041F5E007665642F11 +:041F5F006370652F17 +:041F60006F635F71DB +:041F61006F72746EB9 +:041F620072656C6CCC +:041F63005F305F325A +:041F64005F6C7661D7 +:041F6500006D656D39 +:041F66002B302D23CC +:041F67000000002056 +:041F6800004C6C6855 +:041F690045676665FD +:041F6A0000004746E6 +:041F6B0033323130AC +:041F6C00373635349B +:041F6D00424139387C +:041F6E00464544435D +:041F6F00000000006E +:041F700033323130A7 +:041F71003736353496 +:041F72006261393837 +:041F730066656463D8 +:041F74000000000069 +:041F750000016262A3 +:041F7600000162788C +:041F77000001623CC7 +:041F78000001623CC6 +:041F79000001623CC5 +:041F7A000001623CC4 +:041F7B000001627887 +:041F7C000001623CC2 +:041F7D000001623CC1 +:041F7E000001623CC0 +:041F7F000001623CBF +:041F8000000163DC1D +:041F8100000162BA3F +:041F8200000163AE49 +:041F83000001623CBB +:041F84000001623CBA +:041F850000016410E3 +:041F86000001623CB8 +:041F8700000162BA39 +:041F88000001623CB6 +:041F89000001623CB5 +:041F8A00000163B639 +:041F8B00C896554B54 +:041F8C000000000948 +:041F8D000001802CA3 +:041F8E00000000034C +:041F8F00000180E0ED +:041F90000000000548 +:041F91000001811CAE +:041F92000000000B40 +:041F93000001818048 +:041F94000000000940 +:041F95000001825C69 +:041F96000000000D3A +:041F970000018310B2 +:041F9800000000063F +:041F990000018414AB +:041F9A00000000053E +:041F9B000001848C31 +:041F9C000000000938 +:041F9D00000184F0CB +:041F9E00000000102F +:041F9F00000185A414 +:041FA0000000000736 +:041FA100000186E4D1 +:041FA2000000000C2F +:041FA3000001877042 +:041FA4000000000039 +:041FA5000000000038 +:041FA60000017D7841 +:041FA7000000000036 +:041FA8000000000035 +:041FA900000102EA47 +:041FAA00000103A28D +:041FAB00000101AA86 +:041FAC00000101C867 +:041FAD00000102200D +:041FAE0000800000AF +:041FAF00002000000E +:041FB000000000002D +:041FB100000000002C +:041FB200000000002B :041FB300000000002A :041FB4000000000029 -:041FB5000001714C6A -:041FB6000000000324 -:041FB70000017AD0DB +:041FB5000000000028 +:041FB6000000000027 +:041FB7000000000026 :041FB8000000000025 :041FB9000000000024 -:041FBA000001716051 -:041FBB00000000031F -:041FBC0000017AA8FE +:041FBA000000000023 +:041FBB000000000022 +:041FBC000000000021 :041FBD000000000020 :041FBE00000000001F -:041FBF000001717438 -:041FC000000000031A -:041FC10000017AC0E1 +:041FBF00000000001E +:041FC000000000001D +:041FC100000000001C :041FC200000000001B :041FC300000000001A -:041FC400000171881F -:041FC5000000000315 -:041FC60000017AB0EC +:041FC4000000000019 +:041FC5000000000018 +:041FC6000000000017 :041FC7000000000016 :041FC8000000000015 -:041FC9000001719C06 -:041FCA000000000310 -:041FCB0000017A9007 +:041FC9000000000014 +:041FCA000000000013 +:041FCB000000000012 :041FCC000000000011 :041FCD000000000010 -:041FCE00000171B0ED -:041FCF00000000030B -:041FD00000017A880A -:041FD100000000000C -:041FD200000000000B -:041FD300000171C4D4 -:041FD4000000000306 -:041FD50000017AC8C5 +:041FCE00000000000F +:041FCF00000000000E +:041FD000000000000D +:041FD1000001017496 +:041FD200008000008B +:041FD30000A000006A +:041FD4000002010006 +:041FD50000200000E8 :041FD6000000000007 -:041FD7000000000006 -:041FD80000016EF89E -:041FD9000000000004 -:041FDA00000187512A -:041FDB0000040001FD -:041FDC00000184A8D4 -:041FDD0000016F0888 -:041FDE0000000000FF -:041FDF000001875224 -:041FE00000040001F8 -:041FE100000184BCBB -:041FE20000016F1873 -:041FE30000000000FA -:041FE400000187531E -:041FE50000030001F4 -:041FE600000184D0A2 -:041FE70000016F285E -:041FE80000000000F5 -:041FE9000001875418 -:041FEA0000010001F1 -:041FEB00000185F478 -:041FEC0000016F3849 -:041FED0000000000F0 -:041FEE000001875512 -:041FEF0000010001EC -:041FF000000185EC7B -:041FF10000016F4834 -:041FF20000000000EB -:041FF3000001874C16 -:041FF40000040001E4 -:041FF50000017C1457 -:041FF60000016F5423 -:041FF70000000000E6 -:041FF8000001874D10 -:041FF90000050001DE -:041FFA0000017C283E -:041FFB0000016F6012 -:041FFC0000000000E1 -:041FFD000001874E0A -:041FFE0000040001DA -:041FFF0000017C144D -:0420000000016F6C00 -:0420010000000000DB -:042002000001874F03 -:0420030000040001D4 -:0420040000017C1447 -:0420050000016F78EF -:0420060000000000D6 -:0420070000018750FD -:0420080000020001D1 -:0420090000017C4016 -:04200A0000016F88DA -:04200B0000000000D1 -:04200C0000018756F2 -:04200D0000010001CD -:04200E00000185C880 -:04200F0000016F98C5 -:0420100000000000CC -:042011000001875BE8 -:0420120000020001C7 -:04201300000185281B -:0420140000016FA0B8 -:0420150000000000C7 -:042016000001875CE2 -:0420170000010001C3 -:04201800000185E05E -:0420190000016E1440 -:04201A0000000001C1 -:04201B0000018757E2 -:04201C0000FF0000C1 -:04201D0000010BA211 -:04201E0000016E242B -:04201F0000000001BC -:0420200000018758DC -:04202100003F00007C -:0420220000010BA20C -:0420230000016E3416 -:0420240000000000B8 -:042025000001875AD5 -:0420260000070000AF -:0420270000017C5CDC -:0420280000016E4005 -:0420290000000001B2 -:04202A0000018759D1 -:04202B00000F0000A2 -:04202C0000010BB6EE -:04202D0000016E50F0 -:04202E0000000001AD -:04202F000001876EB7 -:04203000001F00008D -:0420310000010BB6E9 -:0420320000016E5CDF -:0420330000000004A5 -:042034000001268EF3 -:04203500000173F43F -:0420360000000000A6 -:042037000001702014 -:0420380000000000A4 -:042039000001875DBE -:04203A00000300019E -:04203B00000184E03C -:04203C0000017030FF -:04203D00000000009F -:04203E000001875EB8 -:04203F00000100019B -:042040000001860411 -:0420410000017040EA -:04204200000000009A -:042043000001875FB2 -:042044000001000196 -:04204500000185E031 -:0420460000017054D1 -:042047000000000095 -:0420480000018760AC -:042049000001000191 -:04204A00000185E02C -:04204B0000017068B8 -:04204C00000000038D -:04204D0000017A8094 -:04204E00000179BC58 -:04204F000001066620 -:0420500000016E6CB1 -:04205100000000008B -:0420520000018738CA -:042053000002000186 -:04205400000184F013 -:0420550000016E78A0 -:042056000000000185 -:042057000001873EBF -:04205800000F000075 -:0420590000010B4631 -:04205A0000016E888B -:04205B000000000180 -:04205C000001873ABE -:04205D00001C000063 -:04205E0000010B8CE6 -:04205F0000016E9876 -:04206000000000007C -:042061000001873BB8 -:042062000001000178 -:0420630000018614DE -:0420640000016EA465 -:042065000000000077 -:042066000001873CB2 -:042067000001000173 -:04206800000185E00E -:0420690000016EB450 -:04206A000000000072 -:04206B000001873FAA -:04206C00000100016E -:04206D000001860CDC -:04206E0000016EC43B -:04206F00000000006D -:042070000001873DA7 -:042071000001000169 -:04207200000185E004 -:0420730000016ED822 -:042074000000000068 -:0420750000018739A6 -:042076000003000162 -:04207700000184FCE4 -:0420780000016EE411 -:042079000000000360 -:04207A0000017A984F -:04207B000000000061 -:04207C000000000060 -:04207D0000016CB042 -:04207E00000000045A -:04207F0000011CC47C -:04208000000174489F -:04208100000000005B -:0420820000016CC02D -:042083000000000455 -:04208400000119B08E -:04208500000174489A -:042086000000000056 -:0420870000016CD018 -:042088000000000450 -:0420890000010F1033 -:04208A000000000052 -:04208B000000000051 -:04208C0000016CE4FF -:04208D00000000014E -:04208E000001877D49 -:04208F00000A010141 -:0420900000010A68D9 -:0420910000016CF8E6 -:04209200000000004A -:0420930000018C2D8F -:042094000001000146 -:04209500000185E0E1 -:0420960000016D0CCC -:042097000000000045 -:0420980000018C1C9B -:04209900000A000138 -:04209A0000017BD4F2 -:04209B0000016D1CB7 -:04209C000000000040 -:04209D0000018C139F -:04209E00000200013B -:04209F0000017BBC05 -:0420A00000016D309E -:0420A100000000003B -:0420A20000018C119C -:0420A3000001000137 -:0420A400000185FCB6 -:0420A50000016D4089 -:0420A6000000000036 -:0420A700000185D8D7 -:0420A8000001000132 -:0420A900000185FCB1 -:0420AA0000016D5074 -:0420AB000000000031 -:0420AC0000018C1291 -:0420AD00000100012D -:0420AE00000185FCAC -:0420AF0000016D605F -:0420B000000000002C -:0420B10000018C247A -:0420B2000003000126 -:0420B30000017C4C60 -:0420B40000016D704A -:0420B5000000000027 -:0420B600000185E8B8 -:0420B7000002000122 -:0420B8000001848C13 -:0420B90000016D7441 -:0420BA000000000022 -:0420BB00000185E9B2 -:0420BC00000300011C -:0420BD000001849802 -:0420BE0000016FAC02 -:0420BF00000000001D -:0420C000000187652F -:0420C1000003000117 -:0420C200000185187C -:0420C30000016FBCED -:0420C4000000000018 -:0420C5000001876629 -:0420C6000002000113 -:0420C7000001850C83 -:0420C80000016FCCD8 -:0420C9000000000112 -:0420CA000001876228 -:0420CB00001F0000F2 -:0420CC0000010AE223 -:0420CD0000016FDCC3 -:0420CE00000000010D -:0420CF000001876322 -:0420D00000FF00000D -:0420D10000010B04FB -:0420D20000016FECAE -:0420D3000000000108 -:0420D400000187641C -:0420D50000C80A0035 -:0420D60000010B04F6 -:0420D70000016FFC99 -:0420D8000000000103 -:0420D9000001876813 -:0420DA0000050000FD -:0420DB0000010B8E67 -:0420DC000001700C83 -:0420DD0000000001FE -:0420DE00000187690D -:0420DF0000050000F8 -:0420E00000010B8E62 -:0420E1000001707C0E -:0420E20000000000FA -:0420E300000187670A -:0420E40000050001F2 -:0420E500000185343D -:0420E60000017088FD -:0420E70000000000F5 -:0420E800000187610B -:0420E90000020001F0 -:0420EA000001854C20 -:0420EB0000017098E8 -:0420EC0000000001EF -:0420ED0000018776F1 -:0420EE0000FF0000EF -:0420EF0000010BB62B -:0420F000000170A4D7 -:0420F10000000001EA -:0420F20000018777EB -:0420F30000FF0000EA -:0420F40000010BB626 -:0420F500000170B0C6 -:0420F60000000001E5 -:0420F70000018778E5 -:0420F80000FF0000E5 -:0420F90000010BB621 -:0420FA00000170BCB5 -:0420FB0000000001E0 -:0420FC0000018779DF -:0420FD0000FF0000E0 -:0420FE0000010BB61C -:0420FF00000170C8A4 -:0421000000000001DA -:042101000001877AD8 -:0421020000FF0000DA -:0421030000010BB616 -:04210400000170D492 -:0421050000000001D5 -:042106000001877BD2 -:0421070000FF0000D5 -:0421080000010BB611 -:04210900000170E081 -:04210A0000000001D0 -:04210B000001877CCC -:04210C00000F0000C0 -:04210D0000010BB60C -:04210E00000170F06C -:04210F0000000001CB -:0421100000018773D0 -:0421110000E41C00CA -:0421120000010BCAF3 -:042113000001710452 -:0421140000000001C6 -:0421150000018775C9 -:04211600000A0000BB -:0421170000010BFEBA -:04211800000171143D -:0421190000000001C1 -:04211A0000018774C5 -:04211B0000070000B9 -:04211C0000010C169C -:04211D0000017AA0A3 -:04211E0000000000BD -:04211F0000000000BC -:0421200000000000BB -:0421210000000000BA +:041FD70000000020E6 +:041FD8000001000004 +:041FD9000000010003 +:041FDA000000000003 +:041FDB0000016D1084 +:041FDC0000016D2C67 +:041FDD0000016D3C56 +:041FDE0000016D1081 +:041FDF0000016D7020 +:041FE00000016D741B +:041FE100000175B8CE +:041FE200000175C8BD +:041FE300000175D4B0 +:041FE400000175E0A3 +:041FE500000175EC96 +:041FE600000175F889 +:041FE700000176047B +:041FE800000176106E +:041FE9000001761C61 +:041FEA000001762854 +:041FEB000001763447 +:041FEC00020E0409D4 +:041FED003D0E00C8DD +:041FEE003F6E0383BC +:041FEF003ED03DACF7 +:041FF0000000038367 +:041FF10000016E84F9 +:041FF20000016E90EC +:041FF30000016EA0DB +:041FF40000016EB0CA +:041FF50000016EC0B9 +:041FF60000016E7404 +:041FF70000016E84F3 +:041FF80000016E90E6 +:041FF90000016EA0D5 +:041FFA0000016EB0C4 +:041FFB0000016EC0B3 +:041FFC0000016E5022 +:041FFD0000016E5C15 +:041FFE0000016E6808 +:041FFF0000016D1060 +:0420000000016D244A +:0420010000016D0C61 +:0420020000016D2844 +:0420030000016D78F3 +:0420040000016D80EA +:0420050000016D88E1 +:0420060000016D90D8 +:0420070000016D98CF +:0420080000016D9CCA +:0420090000016DA4C1 +:04200A0000016DACB8 +:04200B0000016C84E0 +:04200C0000000002CE +:04200D0000019044FA +:04200E000AF0012CA7 +:04200F0000011BA40D +:0420100000016C94CB +:0420110000000002C9 +:0420120000019046F3 +:0420130000130000B6 +:0420140000011BA408 +:0420150000016CA4B6 +:0420160000000002C4 +:0420170000019048EC +:0420180000FF000ABB +:0420190000011BA403 +:04201A0000016CB0A5 +:04201B0000000002BF +:04201C0000019042ED +:04201D0000FF0001BF +:04201E0000011BA4FE +:04201F0000016CC090 +:0420200000000002BA +:0420210000019040EA +:04202200078000C86B +:0420230000011BA4F9 +:0420240000016CCC7F +:0420250000000002B5 +:0420260000019050D5 +:0420270000070001AD +:0420280000011BA4F4 +:0420290000016CD86E +:04202A0000000002B0 +:04202B000001904ED2 +:04202C0000EC0001C3 +:04202D0000011BA4EF +:04202E0000016CE859 +:04202F0000000002AB +:042030000001904CCF +:0420310004B000A057 +:0420320000011BA4EA +:0420330000016CF448 +:0420340000000002A6 +:042035000001904ACC +:04203600001F000087 +:0420370000011BA4E5 +:0420380000017168CA +:0420390000000000A3 +:04203A0000018B67AF +:04203B00000100019F +:04203C00000189B85E +:04203D0000017178B5 +:04203E00000000009E +:04203F0000018B68A9 +:04204000000100019A +:04204100000189C849 +:0420420000017188A0 +:042043000000000198 +:0420440000018B69A3 +:04204500001800007F +:0420460000011A1467 +:04204700000171988B +:042048000000000094 +:0420490000018B62A5 +:04204A000001000190 +:04204B00000189C83F +:04204C00000171A876 +:04204D00000000008F +:04204E0000018B639F +:04204F00000100018B +:04205000000189C83A +:04205100000171BC5D +:04205200000000008A +:0420530000018B6499 +:042054000002000185 +:0420550000017F788F +:04205600000171D044 +:042057000000000184 +:0420580000018B6A8E +:04205900001F000064 +:04205A00000119E682 +:04205B00000171E42B +:04205C000000000080 +:04205D0000018B658E +:04205E00000100017C +:04205F00000189C82B +:0420600000016BBC54 +:04206100000000017A +:0420620000018B38B6 +:042063000010000069 +:042064000001199AC4 +:0420650000016BCC3F +:042066000000000175 +:0420670000018B39B0 +:042068000010000064 +:042069000001199ABF +:04206A0000016BDC2A +:04206B000000000170 +:04206C0000018B3AAA +:04206D00001000005F +:04206E000001199ABA +:04206F0000016BEC15 +:04207000000000016B +:0420710000018B3BA4 +:04207200001000005A +:042073000001199AB5 +:0420740000016BFC00 +:042075000000000166 +:0420760000018B3C9E +:042077000010000055 +:042078000001199AB0 +:0420790000016C0CEA +:04207A000000000161 +:04207B0000018B3D98 +:04207C000010000050 +:04207D000001199AAB +:04207E0000016C20D1 +:04207F00000000015C +:0420800000018B3E92 +:04208100001000004B +:042082000001199AA6 +:0420830000016C34B8 +:042084000000000157 +:0420850000018B3F8C +:042086000010000046 +:042087000001199AA1 +:0420880000016C489F +:042089000000000152 +:04208A0000018B4086 +:04208B000010000041 +:04208C000001199A9C +:04208D0000016C5C86 +:04208E00000000014D +:04208F0000018B4180 +:04209000001000003C +:042091000001199A97 +:0420920000016C706D +:042093000000000148 +:0420940000018B427A +:042095000010000037 +:042096000001199A92 +:0420970000017504CB +:042098000000000341 +:0420990000017E883C +:04209A000000000042 +:04209B000000000041 +:04209C0000017518B2 +:04209D00000000033C +:04209E0000017E6857 +:04209F00000000003D +:0420A000000000003C +:0420A1000001752C99 +:0420A2000000000337 +:0420A30000017E803A +:0420A4000000000038 +:0420A5000000000037 +:0420A6000001754080 +:0420A7000000000332 +:0420A80000017E585D +:0420A9000000000033 +:0420AA000000000032 +:0420AB000001755467 +:0420AC00000000032D +:0420AD0000017E7040 +:0420AE00000000002E +:0420AF00000000002D +:0420B000000175684E +:0420B1000000000328 +:0420B20000017E604B +:0420B3000000000029 +:0420B4000000000028 +:0420B5000001757C35 +:0420B6000000000323 +:0420B70000017E4066 +:0420B8000000000024 +:0420B9000000000023 +:0420BA00000175901C +:0420BB00000000031E +:0420BC0000017E3869 +:0420BD00000000001F +:0420BE00000000001E +:0420BF00000175A403 +:0420C0000000000319 +:0420C10000017E7824 +:0420C200000000001A +:0420C3000000000019 +:0420C400000172D8CD +:0420C5000000000017 +:0420C60000018B4941 +:0420C7000004000110 +:0420C80000018894F7 +:0420C900000172E8B8 +:0420CA000000000012 +:0420CB0000018B4A3B +:0420CC00000400010B +:0420CD00000188A8DE +:0420CE00000172F8A3 +:0420CF00000000000D +:0420D00000018B4B35 +:0420D1000003000107 +:0420D200000188BCC5 +:0420D300000173088D +:0420D4000000000008 +:0420D50000018B4C2F +:0420D6000001000104 +:0420D700000189DC9F +:0420D8000001731878 +:0420D9000000000003 +:0420DA0000018B4D29 +:0420DB0000010001FF +:0420DC00000189D4A2 +:0420DD000001732863 +:0420DE0000000000FE +:0420DF0000018B442D +:0420E00000040001F7 +:0420E10000017FC4B7 +:0420E2000001733452 +:0420E30000000000F9 +:0420E40000018B4527 +:0420E50000050001F1 +:0420E60000017FD89E +:0420E7000001734041 +:0420E80000000000F4 +:0420E90000018B4621 +:0420EA0000040001ED +:0420EB0000017FC4AD +:0420EC000001734C30 +:0420ED0000000000EF +:0420EE0000018B471B +:0420EF0000040001E8 +:0420F00000017FC4A8 +:0420F100000173581F +:0420F20000000000EA +:0420F30000018B4815 +:0420F40000020001E5 +:0420F50000017FF077 +:0420F600000173680A +:0420F70000000000E5 +:0420F80000018B4E0A +:0420F90000010001E1 +:0420FA00000189B0A8 +:0420FB0000017378F5 +:0420FC0000000000E0 +:0420FD0000018B5300 +:0420FE0000020001DB +:0420FF00000189143F +:0421000000017380E7 +:0421010000000000DA +:0421020000018B54F9 +:0421030000010001D6 +:04210400000189C885 +:04210500000171F470 +:0421060000000001D4 +:0421070000018B4FF9 +:0421080000FF0000D4 +:04210900000119D2E6 +:04210A00000172045A +:04210B0000000001CF +:04210C0000018B50F3 +:04210D00003F00008F +:04210E00000119D2E1 +:04210F000001721445 +:0421100000000000CB +:0421110000018B52EC +:0421120000070000C2 +:042113000001800C3B +:042114000001722034 +:0421150000000001C5 +:0421160000018B51E8 +:04211700000F0000B5 +:04211800000119E6C3 +:04211900000172301F +:04211A0000000001C0 +:04211B0000018B66CE +:04211C00001F0000A0 +:04211D00000119E6BE +:04211E000001723C0E +:04211F0000000004B8 +:04212000000124DEB8 +:04212100000177A49E :0421220000000000B9 -:042123000001696CE2 -:0421240000016970DD -:0421250000016978D4 -:0421260000016960EB -:0421270000016964E6 -:0421280000016968E1 -:042129000001696CDC -:04212A0000016B2C19 -:04212B0000016B48FC -:04212C0000016BA0A3 -:04212D0000016BA89A -:04212E0000016BB091 -:04212F0000016B2C14 -:0421300000016B48F7 -:0421310000016B70CE -:0421320000016B80BD -:0421330000016B90AC -:0421340000016B2C0F -:0421350000016B3802 -:0421360000016B50E9 -:0421370000016B60D8 -:0421380000016A68D0 -:0421390000016C3401 -:04213A0000016C40F4 -:04213B0000016C50E3 -:04213C000001696CC9 -:04213D0000016A68CB -:04213E0000016A70C2 -:04213F0000016A1021 -:0421400000016A1C14 -:0421410000016A2807 -:0421420000016A38F6 -:0421430000016BB874 -:0421440000016BCC5F -:0421450000016BDC4E -:0421460000016BEC3D -:0421470000016BFC2C -:0421480000016C081E -:042149000001696CBC -:04214A0000016A74B2 -:04214B0000016A80A5 -:04214C0000016A9094 -:04214D0000016A68BB -:04214E000001696CB7 -:04214F0000016C74AB -:0421500000016C849A -:0421510000016C9489 -:0421520000016CA478 -:0421530000016C5CBF -:0421540000016C68B2 -:0421550000016A68B3 +:042123000001740043 +:0421240000000000B7 +:0421250000018B55D5 +:0421260000030001B1 +:04212700000188CC5F +:04212800000174102E +:0421290000000000B2 +:04212A0000018B56CF +:04212B0000010001AE +:04212C00000189EC39 +:04212D000001742019 +:04212E0000000000AD +:04212F0000018B57C9 +:0421300000010001A9 +:04213100000189C858 +:042132000001743400 +:0421330000000000A8 +:0421340000018B58C3 +:0421350000010001A4 +:04213600000189C853 +:0421370000017448E7 +:0421380000000003A0 +:0421390000017E30F3 +:04213A0000017D6CB7 +:04213B0000010A2C69 +:04213C000001724CE0 +:04213D00000000009E +:04213E0000018B30E1 +:04213F000002000199 +:04214000000188DC36 +:0421410000017258CF +:042142000000000198 +:0421430000018B36D6 +:04214400000F000088 +:042145000001197606 +:0421460000017268BA +:042147000000000193 +:0421480000018B32D5 +:04214900001C000076 +:04214A00000119BCBB +:04214B0000017278A5 +:04214C00000000008F +:04214D0000018B33CF +:04214E00000100018B +:04214F00000189FC06 +:042150000001728494 +:04215100000000008A +:0421520000018B34C9 +:042153000001000186 +:04215400000189C835 +:04215500000172947F :042156000000000085 -:042157000000000084 -:042158000000000083 -:042159000000000082 -:04215A000000000081 +:0421570000018B37C1 +:042158000001000181 +:04215900000189F404 +:04215A00000172A46A :04215B000000000080 -:04215C00000000007F -:04215D00000000007E -:04215E00000000007D -:04215F00000000007C +:04215C0000018B35BE +:04215D00000100017C +:04215E00000189C82B +:04215F00000172B851 :04216000000000007B -:04216100000000007A -:042162000000000079 -:042163000000000078 -:042164000000000077 -:042165000000000076 -:042166000000000075 +:0421610000018B31BD +:042162000003000175 +:04216300000188E807 +:04216400000172C440 +:042165000000000373 +:0421660000017E48AE :042167000000000074 :042168000000000073 -:042169000000000072 -:04216A000000000071 -:04216B000000000070 -:04216C00000000006F +:0421690000017054AD +:04216A00000000046D +:04216B0000012296B7 +:04216C00000177F8FF :04216D00000000006E -:04216E00000185B82F -:04216F00000185B82E -:042170000002002049 -:0421710000015D12FA -:0421720000016A946A -:0421730000016AA459 -:04217400000169A459 -:04217500000169B844 -:042176000000000164 -:0421770000108010C4 -:042178000001696C8D -:0421790000016A7087 -:04217A00000001015F -:04217B0000016B2CC8 -:04217C0000016B38BB -:04217D0000016B2CC6 -:04217E0000016B48A9 -:04217F0000017218D1 -:0421800000017224C4 -:0421810000016C14D9 -:0421820000016C24C8 -:0421830000016A40AD -:0421840000016A44A8 -:0421850000016A4C9F -:0421860000016A5C8E -:042187000001855876 +:04216E000001706498 +:04216F000000000468 +:0421700000011FCE7D +:04217100000177F8FA +:042172000000000069 +:042173000001707483 +:042174000000000463 +:04217500000118D677 +:042176000000000065 +:042177000000000064 +:04217800000170886A +:042179000000000161 +:04217A0000018B7560 +:04217B00000A010154 +:04217C00000111222B +:04217D000001709C51 +:04217E00000000005D +:04217F0000019025A6 +:042180000001000159 +:04218100000189C808 +:04218200000170B038 +:042183000000000058 +:0421840000019014B2 +:04218500000A00014B +:0421860000017F8451 +:04218700000170C023 +:042188000000000053 +:042189000001900BB6 +:04218A00000200014E +:04218B0000017F6C64 +:04218C00000170D40A +:04218D00000000004E +:04218E0000019009B3 +:04218F00000100014A +:04219000000189E4DD +:04219100000170E4F5 +:042192000000000049 +:04219300000189C0FE +:042194000001000145 +:04219500000189E4D8 +:04219600000170F4E0 +:042197000000000044 +:042198000001900AA8 +:042199000001000140 +:04219A00000189E4D3 +:04219B0000017104CA +:04219C00000000003F +:04219D000001901C91 +:04219E000003000139 +:04219F0000017FFCC0 +:0421A00000017114B5 +:0421A100000000003A +:0421A200000189D0DF +:0421A3000002000135 +:0421A4000001887836 +:0421A50000017118AC +:0421A6000000000035 +:0421A700000189D1D9 +:0421A800000300012F +:0421A9000001888425 +:0421AA000001712C93 +:0421AB00000000042C +:0421AC0000014022CC +:0421AD00000000002E +:0421AE00000000002D +:0421AF00000171407A +:0421B0000000000427 +:0421B100000137A64C +:0421B2000000000029 +:0421B3000000000028 +:0421B4000001715461 +:0421B5000000000422 +:0421B60000013C20C8 +:0421B7000000000024 +:0421B8000000000023 +:0421B9000001738C22 +:0421BA000000000021 +:0421BB0000018B5D37 +:0421BC00000300011B +:0421BD000001890490 +:0421BE000001739C0D +:0421BF00000000001C +:0421C00000018B5E31 +:0421C1000002000117 +:0421C200000188F898 +:0421C300000173ACF8 +:0421C4000000000116 +:0421C50000018B5A30 +:0421C600001F0000F6 +:0421C70000011912E8 +:0421C800000173BCE3 +:0421C9000000000111 +:0421CA0000018B5B2A +:0421CB0000FF000011 +:0421CC0000011934C1 +:0421CD00000173CCCE +:0421CE00000000010C +:0421CF0000018B5C24 +:0421D00000C80A0039 +:0421D10000011934BC +:0421D200000173DCB9 +:0421D3000000000107 +:0421D40000018B601B +:0421D5000005000001 +:0421D600000119BE2D +:0421D700000173ECA4 +:0421D8000000000102 +:0421D90000018B6115 +:0421DA0000050000FC +:0421DB00000119BE28 +:0421DC000001745C2E +:0421DD0000000000FE +:0421DE0000018B5F12 +:0421DF0000050001F6 +:0421E0000001892051 +:0421E100000174681D +:0421E20000000000F9 +:0421E30000018B5913 +:0421E40000020001F4 +:0421E5000001893834 +:0421E6000001747808 +:0421E70000000001F3 +:0421E80000018B6EF9 +:0421E90000FF0000F3 +:0421EA00000119E6F1 +:0421EB0000017484F7 +:0421EC0000000001EE +:0421ED0000018B6FF3 +:0421EE0000FF0000EE +:0421EF00000119E6EC +:0421F00000017490E6 +:0421F10000000001E9 +:0421F20000018B70ED +:0421F30000FF0000E9 +:0421F400000119E6E7 +:0421F5000001749CD5 +:0421F60000000001E4 +:0421F70000018B71E7 +:0421F80000FF0000E4 +:0421F900000119E6E2 +:0421FA00000174A8C4 +:0421FB0000000001DF +:0421FC0000018B72E1 +:0421FD0000FF0000DF +:0421FE00000119E6DD +:0421FF00000174B4B3 +:0422000000000001D9 +:0422010000018B73DA +:0422020000FF0000D9 +:04220300000119E6D7 +:04220400000174C0A1 +:0422050000000001D4 +:0422060000018B74D4 +:04220700000F0000C4 +:04220800000119E6D2 +:04220900000174D08C +:04220A0000000001CF +:04220B0000018B6BD8 +:04220C0000E41C00CE +:04220D00000119FAB9 +:04220E00000174E473 +:04220F0000000001CA +:0422100000018B6DD1 +:04221100000A0000BF +:0422120000011A2E7F +:04221300000174F45E +:0422140000000001C5 +:0422150000018B6CCD +:0422160000070000BD +:0422170000011A4662 +:0422180000017E50F3 +:0422190000000000C1 +:04221A0000000000C0 +:04221B0000000000BF +:04221C0000000000BE +:04221D0000000000BD +:04221E0000016D103E +:04221F0000016D1439 +:0422200000016D1C30 +:0422210000016D0447 +:0422220000016D0842 +:0422230000016D0C3D +:0422240000016D1038 +:0422250000016ED076 +:0422260000016EEC59 +:0422270000016F44FF +:0422280000016F4CF6 +:0422290000016F54ED +:04222A0000016ED071 +:04222B0000016EEC54 +:04222C0000016F142A +:04222D0000016F2419 +:04222E0000016F3408 +:04222F0000016ED06C +:0422300000016EDC5F +:0422310000016EF446 +:0422320000016F0434 +:0422330000016E0C2C +:0422340000016FD85E +:0422350000016FE451 +:0422360000016FF440 +:0422370000016D1025 +:0422380000016E0C27 +:0422390000016E141E +:04223A0000016DB47E +:04223B0000016DC071 +:04223C0000016DCC64 +:04223D0000016DDC53 +:04223E0000016F5CD0 +:04223F0000016F70BB +:0422400000016F80AA +:0422410000016F9099 +:0422420000016FA088 +:0422430000016FAC7B +:0422440000016D1018 +:0422450000016E180E +:0422460000016E2401 +:0422470000016E34F0 +:0422480000016E0C17 +:0422490000016D1013 +:04224A000001701807 +:04224B0000017028F6 +:04224C0000017038E5 +:04224D0000017048D4 +:04224E00000170001B +:04224F000001700C0E +:0422500000016E0C0F +:042251000000000089 +:042252000000000088 +:042253000000000087 +:042254000000000086 +:042255000000000085 +:042256000000000084 +:042257000000000083 +:042258000000000082 +:042259000000000081 +:04225A000000000080 +:04225B00000000007F +:04225C00000000007E +:04225D00000000007D +:04225E00000000007C +:04225F00000000007B +:04226000000000007A +:042261000000000079 +:042262000000000078 +:042263000000000077 +:042264000000000076 +:042265000000000075 +:042266000000000074 +:042267000000000073 +:042268000000000072 +:04226900000189A443 +:04226A00000189A442 +:04226B0000016636D2 +:04226C0000016E38C7 +:04226D0000016E48B6 +:04226E0000016D48B6 +:04226F0000016D5CA1 +:042270000000000169 +:0422710000108010C9 +:0422720000016D10EA +:0422730000016E14E4 +:042274000000010164 +:0422750000016ED026 +:0422760000016EDC19 +:0422770000016ED024 +:0422780000016EEC07 +:04227900000175D813 +:04227A00000175E406 +:04227B0000016FB837 +:04227C0000016FC826 +:04227D0000016DE40B +:04227E0000016DE806 +:04227F0000016DF0FD +:0422800000016E00EB +:04228100000189448B :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index ec21f8f..4ba17a2 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -50,7 +50,9 @@ #define SYNC_LOSS_THOLD -5 #define STATUS_TIMEOUT 100000 -alt_u16 sys_ctrl; +#define PCNT_TOLERANCE 50 + +alt_u32 sys_ctrl; // Current mode avmode_t cm; @@ -285,7 +287,7 @@ inline int check_linecnt(alt_u8 progressive, alt_u32 totlines) { status_t get_status(tvp_sync_input_t syncinput) { alt_u32 data1, data2; - alt_u32 totlines, clkcnt; + alt_u32 totlines, clkcnt, pcnt_frame; alt_u8 progressive; //alt_u8 refclk; alt_u8 sync_active; @@ -314,15 +316,19 @@ status_t get_status(tvp_sync_input_t syncinput) // Read sync information from TVP7002 status registers data1 = tvp_readreg(TVP_LINECNT1); data2 = tvp_readreg(TVP_LINECNT2); - totlines = ((data2 & 0x0f) << 8) | data1; - progressive = !!(data2 & (1<<5)); + /*totlines = ((data2 & 0x0f) << 8) | data1; + progressive = !!(data2 & (1<<5));*/ + totlines = sc->sc_status.vmax; + progressive = !sc->sc_status.interlace_flag; cm.macrovis = !!(data2 & (1<<6)); data1 = tvp_readreg(TVP_CLKCNT1); data2 = tvp_readreg(TVP_CLKCNT2); - clkcnt = ((data2 & 0x0f) << 8) | data1; + //clkcnt = ((data2 & 0x0f) << 8) | data1; + pcnt_frame = (unsigned long)sc->sc_status2.pcnt_frame; + clkcnt = pcnt_frame/(totlines>>!progressive); // Read how many lines TVP7002 outputs in reality (valid only if output enabled) - totlines_tvp = sc->sc_status.vmax_tvp+1; + /*totlines_tvp = sc->sc_status.vmax_tvp+1; // NOTE: "progressive" may not have correct value if H-PLL is not locked (!cm.sync_active) if ((vsyncmode == 0x2) || (!cm.sync_active && (totlines < MIN_LINES_INTERLACED))) { @@ -330,9 +336,10 @@ status_t get_status(tvp_sync_input_t syncinput) } else if (vsyncmode == 0x1) { progressive = 0; totlines = totlines_tvp; //compensate skipped vsync - } + }*/ - valid_linecnt = check_linecnt(progressive, totlines); + //valid_linecnt = check_linecnt(progressive, totlines); + valid_linecnt = 1; // TVP7002 may randomly report "no sync" (especially with arcade boards), // thus disable output only after N consecutive "no sync"-events @@ -360,8 +367,11 @@ status_t get_status(tvp_sync_input_t syncinput) if (valid_linecnt) { // Line count reported in TVP7002 status registers is sometimes +-1 line off and may alternate with correct value. Ignore these events - if ((totlines > cm.totlines+1) || (totlines+1 < cm.totlines) || (clkcnt != cm.clkcnt) || (progressive != cm.progressive)) { - printf("totlines: %lu (cur) / %lu (prev), clkcnt: %lu (cur) / %lu (prev). totlines_tvp: %u, VSM: %u\n", totlines, cm.totlines, clkcnt, cm.clkcnt, totlines_tvp, vsyncmode); + if ((totlines != cm.totlines) || + (progressive != cm.progressive) || + (pcnt_frame < (cm.pcnt_frame - PCNT_TOLERANCE)) || + (pcnt_frame > (cm.pcnt_frame + PCNT_TOLERANCE))) { + printf("totlines: %lu (cur) / %lu (prev), pcnt_frame: %lu (cur) / %lu (prev). VSM: %u\n", totlines, cm.totlines, pcnt_frame, cm.pcnt_frame, vsyncmode); /*if (!cm.sync_active) act_ctr = 0;*/ stable_frames = 0; @@ -399,13 +409,14 @@ status_t get_status(tvp_sync_input_t syncinput) if (update_cur_vm) { cm.h_mult_total = (video_modes[cm.id].h_total*cm.sample_mult) + ((cm.sample_mult*video_modes[cm.id].h_total_adj*5 + 50) / 100); - tvp_setup_hpll(cm.h_mult_total, clkcnt, cm.cc.tvp_hpll2x && (video_modes[cm.id].flags & MODE_PLLDIVBY2)); + tvp_setup_hpll(cm.h_mult_total, totlines, cm.cc.tvp_hpll2x && (video_modes[cm.id].flags & MODE_PLLDIVBY2)); cm.sample_sel = tvp_set_hpll_phase(video_modes[cm.id].sampler_phase, cm.sample_mult); status = (status < SC_CONFIG_CHANGE) ? SC_CONFIG_CHANGE : status; } cm.totlines = totlines; cm.clkcnt = clkcnt; + cm.pcnt_frame = pcnt_frame; cm.progressive = progressive; } @@ -478,9 +489,9 @@ status_t get_status(tvp_sync_input_t syncinput) void update_sc_config() { - h_config_reg h_config = {.data=0x00000000}; - h_config2_reg h_config2 = {.data=0x00000000}; - v_config_reg v_config = {.data=0x00000000}; + hv_config_reg hv_in_config = {.data=0x00000000}; + hv_config2_reg hv_in_config2 = {.data=0x00000000}; + hv_config3_reg hv_in_config3 = {.data=0x00000000}; misc_config_reg misc_config = {.data=0x00000000}; sl_config_reg sl_config = {.data=0x00000000}; sl_config2_reg sl_config2 = {.data=0x00000000}; @@ -604,24 +615,27 @@ void update_sc_config() h_opt_startoffs = h_border + (cm.sample_mult-h_opt_scale)*(h_synclen+(alt_u16)video_modes[cm.id].h_backporch); printf("h_border: %u, h_opt_startoffs: %u\n", h_border, h_opt_startoffs); - h_config.h_multmode = cm.fpga_hmultmode; - h_config.h_l5fmt = (cm.cc.l5_fmt!=L5FMT_1600x1200); - h_config.h_l3_240x360 = (cm.target_lm==MODE_L3_240x360); - h_config.h_synclen = (cm.sample_mult*h_synclen); - h_config.h_backporch = (cm.sample_mult*(alt_u16)video_modes[cm.id].h_backporch); - h_config.h_active = (cm.sample_mult*video_modes[cm.id].h_active); + misc_config.h_multmode = cm.fpga_hmultmode; + //misc_config.h_l5fmt = (cm.cc.l5_fmt!=L5FMT_1600x1200); + misc_config.h_l3_240x360 = (cm.target_lm==MODE_L3_240x360); - h_config2.h_mask = h_mask; - h_config2.h_opt_scale = h_opt_scale; - h_config2.h_opt_sample_sel = cm.sample_sel; - h_config2.h_opt_sample_mult = cm.sample_mult; - h_config2.h_opt_startoff = h_opt_startoffs; + hv_in_config.h_total = video_modes[cm.id].h_total; + hv_in_config.h_synclen = (cm.sample_mult*h_synclen); + hv_in_config2.h_backporch = (cm.sample_mult*(alt_u16)video_modes[cm.id].h_backporch); + hv_in_config.h_active = (cm.sample_mult*video_modes[cm.id].h_active); - v_config.v_multmode = cm.fpga_vmultmode; - v_config.v_mask = cm.cc.v_mask; - v_config.v_synclen = video_modes[cm.id].v_synclen; - v_config.v_backporch = v_backporch; - v_config.v_active = v_active; + //h_config2.h_mask = h_mask; + misc_config.h_opt_scale = h_opt_scale; + hv_in_config3.h_sample_sel = cm.sample_sel; + hv_in_config3.h_skip = cm.sample_mult; + misc_config.h_opt_startoff = h_opt_startoffs; + + misc_config.v_multmode = cm.fpga_vmultmode; + //v_config.v_mask = cm.cc.v_mask; + hv_in_config3.v_synclen = video_modes[cm.id].v_synclen; + hv_in_config3.v_backporch = v_backporch; + hv_in_config2.v_active = v_active; + //hv_in_config2.v_total = v_total; misc_config.rev_lpf_str = cm.cc.reverse_lpf; misc_config.mask_br = cm.cc.mask_br; @@ -638,9 +652,9 @@ void update_sc_config() sl_config2.sl_c_overlay = sl_c_overlay; sl_config2.sl_altiv = cm.cc.sl_altiv; - sc->h_config = h_config; - sc->h_config2 = h_config2; - sc->v_config = v_config; + sc->hv_in_config = hv_in_config; + sc->hv_in_config2 = hv_in_config2; + sc->hv_in_config3 = hv_in_config3; sc->misc_config = misc_config; sc->sl_config = sl_config; sc->sl_config2 = sl_config2; @@ -657,7 +671,7 @@ void program_mode() if ((cm.clkcnt != 0) && (cm.totlines != 0)) { //prevent div by 0 h_hz = TVP_EXTCLK_HZ/cm.clkcnt; - v_hz_x100 = cm.progressive ? ((100*TVP_EXTCLK_HZ)/cm.totlines)/cm.clkcnt : (2*((100*TVP_EXTCLK_HZ)/cm.totlines))/cm.clkcnt; + v_hz_x100 = (100*TVP_EXTCLK_HZ)/cm.pcnt_frame; } else { h_hz = 15700; v_hz_x100 = 6000; @@ -805,8 +819,9 @@ int init_hw() // Reset hardware IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, AV_RESET_N|LCD_BL); IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, 0x0000); - sc->h_config.data = 0x00000000; - sc->v_config.data = 0x00000000; + sc->hv_in_config.data = 0x00000000; + sc->hv_in_config2.data = 0x00000000; + sc->hv_in_config3.data = 0x00000000; usleep(10000); // unreset hw @@ -1159,6 +1174,10 @@ int main() #endif tvp_source_sel(target_tvp, target_tvp_sync, target_format); cm.clkcnt = 0; //TODO: proper invalidate + sys_ctrl &= ~VSYNC_I_TYPE; + if (target_format == FORMAT_RGBHV) + sys_ctrl |= VSYNC_I_TYPE; + IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, sys_ctrl); strncpy(row1, avinput_str[cm.avinput], LCD_ROW_LEN+1); strncpy(row2, " NO SYNC", LCD_ROW_LEN+1); ui_disp_status(1); diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index e52dbab..3909c4f 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -27,6 +27,7 @@ #include "pll_reconfig_regs.h" // sys_ctrl bits +#define VSYNC_I_TYPE (1<<18) #define LT_ACTIVE (1<<15) #define LT_ARMED (1<<14) #define LT_MODE_OFFS 12 @@ -93,6 +94,7 @@ typedef struct { //TODO: transform binary values into flags typedef struct { alt_u32 totlines; + alt_u32 pcnt_frame; alt_u32 clkcnt; alt_u8 progressive; alt_u8 macrovis;