OPro-COM-AY/Firmware/OrionCOM-AY/db/prev_cmp_OrionCOM-AY.qmsg
Бойков Роман Анатольевич 4ff5a8ec14 First working version.
2021-02-05 16:44:29 +03:00

71 lines
33 KiB
Plaintext

{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1612509799154 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1612509799156 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Feb 5 10:23:18 2021 " "Processing started: Fri Feb 5 10:23:18 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1612509799156 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1612509799156 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off OrionCOM-AY -c OrionCOM-AY " "Command: quartus_map --read_settings_files=on --write_settings_files=off OrionCOM-AY -c OrionCOM-AY" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1612509799157 ""}
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1612509800562 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "OrionCOM_AY.sv 3 3 " "Found 3 design units, including 3 entities, in source file OrionCOM_AY.sv" { { "Info" "ISGN_ENTITY_NAME" "1 OrionCOM_AY " "Found entity 1: OrionCOM_AY" { } { { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 4 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1612509800889 ""} { "Info" "ISGN_ENTITY_NAME" "2 ViClkDiv " "Found entity 2: ViClkDiv" { } { { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 87 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1612509800889 ""} { "Info" "ISGN_ENTITY_NAME" "3 AyClkDiv " "Found entity 3: AyClkDiv" { } { { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 119 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1612509800889 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1612509800889 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "OrionCOM_AY " "Elaborating entity \"OrionCOM_AY\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1612509801106 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "AyClkDiv AyClkDiv:ayClkDiv " "Elaborating entity \"AyClkDiv\" for hierarchy \"AyClkDiv:ayClkDiv\"" { } { { "OrionCOM_AY.sv" "ayClkDiv" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 75 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801131 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ViClkDiv ViClkDiv:viClkDiv " "Elaborating entity \"ViClkDiv\" for hierarchy \"ViClkDiv:viClkDiv\"" { } { { "OrionCOM_AY.sv" "viClkDiv" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801138 ""}
{ "Info" "ILPMS_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "AyClkDiv:ayClkDiv\|Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"AyClkDiv:ayClkDiv\|Add0\"" { } { { "OrionCOM_AY.sv" "Add0" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1612509801179 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1612509801179 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\"" { } { { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1612509801369 ""}
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Instantiated megafunction \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 10 " "Parameter \"LPM_WIDTH\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801370 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801370 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801370 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801370 ""} } { { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1612509801370 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|addcore:adder\[1\] AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|addcore:adder\[1\]\", which is child of megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "/opt/romych/Quartus_13.sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801384 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|addcore:adder\[1\]\|a_csnbuffer:oflow_node AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|addcore:adder\[1\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "/opt/romych/Quartus_13.sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801391 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|addcore:adder\[1\]\|a_csnbuffer:result_node AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|addcore:adder\[1\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "/opt/romych/Quartus_13.sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801393 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|addcore:adder\[0\] AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "/opt/romych/Quartus_13.sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801397 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|look_add:look_ahead_unit AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "/opt/romych/Quartus_13.sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801408 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|altshift:result_ext_latency_ffs AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "/opt/romych/Quartus_13.sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801414 ""}
{ "Info" "ISGN_MEGAFN_DESCENDANT" "AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs AyClkDiv:ayClkDiv\|lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"AyClkDiv:ayClkDiv\|lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "/opt/romych/Quartus_13.sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "OrionCOM_AY.sv" "" { Text "/home/romych/projects/CPLD/OrionCOM-AY/OrionCOM_AY.sv" 133 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1612509801415 ""}
{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "12 " "Ignored 12 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "12 " "Ignored 12 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1612509801513 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1612509801513 ""}
{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "clk " "Promoted clock signal driven by pin \"clk\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1612509801557 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "reset_n " "Promoted clear signal driven by pin \"reset_n\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1612509801557 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1612509801557 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "53 " "Implemented 53 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "19 " "Implemented 19 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1612509801662 ""} { "Info" "ICUT_CUT_TM_OPINS" "12 " "Implemented 12 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1612509801662 ""} { "Info" "ICUT_CUT_TM_MCELLS" "22 " "Implemented 22 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1612509801662 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1612509801662 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "335 " "Peak virtual memory: 335 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1612509802104 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Feb 5 10:23:22 2021 " "Processing ended: Fri Feb 5 10:23:22 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1612509802104 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1612509802104 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1612509802104 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1612509802104 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1612509804497 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1612509804498 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Feb 5 10:23:24 2021 " "Processing started: Fri Feb 5 10:23:24 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1612509804498 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1612509804498 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off OrionCOM-AY -c OrionCOM-AY " "Command: quartus_fit --read_settings_files=off --write_settings_files=off OrionCOM-AY -c OrionCOM-AY" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1612509804499 ""}
{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1612509805078 ""}
{ "Info" "0" "" "Project = OrionCOM-AY" { } { } 0 0 "Project = OrionCOM-AY" 0 0 "Fitter" 0 0 1612509805082 ""}
{ "Info" "0" "" "Revision = OrionCOM-AY" { } { } 0 0 "Revision = OrionCOM-AY" 0 0 "Fitter" 0 0 1612509805082 ""}
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1612509805197 ""}
{ "Info" "IMPP_MPP_USER_DEVICE" "OrionCOM-AY EPM7064STC44-7 " "Selected device EPM7064STC44-7 for design \"OrionCOM-AY\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1612509805200 ""}
{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TCK " "Node \"TCK\" is assigned to location or region, but does not exist in design" { } { { "/opt/romych/Quartus_13.sp1/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/romych/Quartus_13.sp1/quartus/linux/Assignment Editor.qase" 1 { { 0 "TCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1612509805374 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TDI " "Node \"TDI\" is assigned to location or region, but does not exist in design" { } { { "/opt/romych/Quartus_13.sp1/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/romych/Quartus_13.sp1/quartus/linux/Assignment Editor.qase" 1 { { 0 "TDI" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1612509805374 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TDO " "Node \"TDO\" is assigned to location or region, but does not exist in design" { } { { "/opt/romych/Quartus_13.sp1/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/romych/Quartus_13.sp1/quartus/linux/Assignment Editor.qase" 1 { { 0 "TDO" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1612509805374 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TMS " "Node \"TMS\" is assigned to location or region, but does not exist in design" { } { { "/opt/romych/Quartus_13.sp1/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/romych/Quartus_13.sp1/quartus/linux/Assignment Editor.qase" 1 { { 0 "TMS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1612509805374 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1612509805374 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "294 " "Peak virtual memory: 294 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1612509805881 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Feb 5 10:23:25 2021 " "Processing ended: Fri Feb 5 10:23:25 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1612509805881 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1612509805881 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1612509805881 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1612509805881 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1612509808331 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1612509808332 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Feb 5 10:23:28 2021 " "Processing started: Fri Feb 5 10:23:28 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1612509808332 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1612509808332 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off OrionCOM-AY -c OrionCOM-AY " "Command: quartus_asm --read_settings_files=off --write_settings_files=off OrionCOM-AY -c OrionCOM-AY" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1612509808332 ""}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1612509808517 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "299 " "Peak virtual memory: 299 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1612509808747 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Feb 5 10:23:28 2021 " "Processing ended: Fri Feb 5 10:23:28 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1612509808747 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1612509808747 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1612509808747 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1612509808747 ""}
{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1612509808853 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1612509810697 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1612509810698 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Feb 5 10:23:30 2021 " "Processing started: Fri Feb 5 10:23:30 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1612509810698 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1612509810698 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta OrionCOM-AY -c OrionCOM-AY " "Command: quartus_sta OrionCOM-AY -c OrionCOM-AY" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1612509810698 ""}
{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1612509810752 ""}
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1612509810894 ""}
{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1612509810929 ""}
{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1612509810933 ""}
{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1612509811008 ""}
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "OrionCOM-AY.sdc " "Synopsys Design Constraints File file not found: 'OrionCOM-AY.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1612509811018 ""}
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1612509811018 ""}
{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811027 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811027 ""}
{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1612509811037 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1612509811046 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -7.800 " "Worst-case setup slack is -7.800" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811047 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811047 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -7.800 -77.800 clk " " -7.800 -77.800 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811047 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1612509811047 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.000 " "Worst-case hold slack is 3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811048 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811048 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.000 0.000 clk " " 3.000 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811048 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1612509811048 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1612509811050 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1612509811051 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.500 " "Worst-case minimum pulse width slack is -2.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811051 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811051 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.500 -55.000 clk " " -2.500 -55.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1612509811051 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1612509811051 ""}
{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1612509811067 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1612509811073 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1612509811073 ""}
{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "285 " "Peak virtual memory: 285 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1612509811094 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Feb 5 10:23:31 2021 " "Processing ended: Fri Feb 5 10:23:31 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1612509811094 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1612509811094 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1612509811094 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1612509811094 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1612509813834 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 32-bit " "Running Quartus II 32-bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1612509813835 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Feb 5 10:23:33 2021 " "Processing started: Fri Feb 5 10:23:33 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1612509813835 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1612509813835 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off OrionCOM-AY -c OrionCOM-AY " "Command: quartus_eda --read_settings_files=off --write_settings_files=off OrionCOM-AY -c OrionCOM-AY" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1612509813836 ""}
{ "Info" "IWSC_DONE_HDL_SDO_GENERATION" "OrionCOM-AY.vo OrionCOM-AY_v.sdo /home/romych/projects/CPLD/OrionCOM-AY/simulation/modelsim/ simulation " "Generated files \"OrionCOM-AY.vo\" and \"OrionCOM-AY_v.sdo\" in directory \"/home/romych/projects/CPLD/OrionCOM-AY/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204018 "Generated files \"%1!s!\" and \"%2!s!\" in directory \"%3!s!\" for EDA %4!s! tool" 0 0 "Quartus II" 0 -1 1612509814054 ""}
{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "304 " "Peak virtual memory: 304 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1612509814084 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Feb 5 10:23:34 2021 " "Processing ended: Fri Feb 5 10:23:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1612509814084 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1612509814084 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1612509814084 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1612509814084 ""}
{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 11 s " "Quartus II Full Compilation was successful. 0 errors, 11 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1612509814176 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1612509848878 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Netlist Viewers Preprocess Quartus II 32-bit " "Running Quartus II 32-bit Netlist Viewers Preprocess" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1612509848879 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Feb 5 10:24:08 2021 " "Processing started: Fri Feb 5 10:24:08 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1612509848879 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1612509848879 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_rpp OrionCOM-AY -c OrionCOM-AY --netlist_type=sgate " "Command: quartus_rpp OrionCOM-AY -c OrionCOM-AY --netlist_type=sgate" { } { } 0 0 "Command: %1!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1612509848880 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Netlist Viewers Preprocess 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Netlist Viewers Preprocess was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "255 " "Peak virtual memory: 255 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1612509848963 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Feb 5 10:24:08 2021 " "Processing ended: Fri Feb 5 10:24:08 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1612509848963 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1612509848963 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1612509848963 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1612509848963 ""}